Donate!
Welcome, Guest. Please Login or Register :: View Members
Pages: 1 ... 18 19 20 21 
Send Topic Print
AMD & others --- Intel dominance in 2022 (Read 9739 times)
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #285 - 02/23/22 at 05:28:39
 

https://wccftech.com/samsung-investigating-fraudulent-4nm-advanced-chip-techn...

Samsung Investigating Fraudulent 4nm Advanced Chip Technology Yields

By Ramish Zafar  /  Feb 22, 2022 10:51 EST
South Korean technology giant Samsung Electronics is purportedly investigating fraud at its chip manufacturing division Samsung Foundry. Samsung Foundry is only one of the two contract chip manufacturers in the world that is capable of producing semiconductors on the advanced 5-nanometer (nm) chip process. The efficiency of such processes is determined by what is referred to as a 'yield' in the semiconductor industry. A fresh report circulating in the Korean press is now claiming that executives at Samsung Foundry might have fabricated the data for 5nm and 4nm yields, in an effort to misplace the funds allocated by the company to improve chip manufacturing efficiency.

Samsung Investigates Chip Yields As Products Fail To Meet Order Volume Speculates Report
The report, which surfaced earlier today in Korea, comes courtesy of Infostockdaily, and it mentions the yields for Samsung's latest chip manufacturing technologies, which are the 5nm and the 4nm process nodes. The yield of a chip process refers to the number of chips in a wafer that meet quality testing standards, and it is a key parameter that is evaluated by foundries through early production runs before full scale manufacturing kicks off.

According to the Korean publication, officials at Samsung Electronics are conducting an investigation on the whereabouts of the funds that had been allocated to Samsung Foundry for improving its chip manufacturing process yields. This is to determine whether the figures for the yields of the 5nm and 4nm nodes were falsified in order to create an impression that all is well at Samsung's chipmaking arm when reality would suggest otherwise.

Samsung and the Taiwan Semiconductor Manufacturing Company (TSMC) are the only two in the world that are selling chip products to customers under the 5nm branding. The pair are currently locked in a race to be the first to deliver 3nm products to the market.


This internal investigation results from Qualcomm hitting only 35% yield rates on their last batch of Samsung chipsets.

TSMC and Apple are having similar results on the latest runs of Apple chipsets and are struggling to improve them on 3nm.

ASML is under the gun to prove to everybody that their latest multi-billion dollar scanners actually work as claimed.

Blame is currently flowing towards the wafer material suppliers who are suspected of having supplied sub-standard raw materials as the wafer suppliers have also been an issue of late to Apple/TSMC as well.

"Wafer perfection levels" are under strong scrutiny right now as we go down in lithography levels to 3nm.   What worked OK at 5nm simply isn't good enough at 3nm and below.  Both TSMC and Samsung have run trial lots with good acceptance rates within the last year, but finding you cannot trust their suppliers (yes, you Samsung) not to fudge their internal development data -- which means it is rather stupid to continue this headlong race to get down to newest lithography levels ---- and you can now see why AMD takes the very deliberate downsizing pace that they take.

AMD does not want to lead the pack, it goes for second or third when getting into new lithography levels and then only if yields are over a certain rather high percentage.

Apple is feeling this yield loss pinch right now as well --- as will Intel when they jump in with both feet at 3nm starting next year.
Back to top
 
« Last Edit: 04/08/22 at 16:04:17 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #286 - 02/25/22 at 11:29:02
 

RUMOR TIME

Intel foresees TSMC being sidelined by a Chinese military take over of Taiwan.  

When this happens, Intel will ask for and will quickly get 100 billion dollars from Biden to build an AMERICAN BASED MODERN chip making capability of some size.   This will be a military sort of program and it will move quickly.  ASML has already been told by their own Dutch government to expand their scanner building capability to support such a rapid construction of new fab sites, as the Dutch government also sees this potentially happening soon.  

NATO as a whole is concerned over chip supply ......

Folks theorize that the Ohio and the Arizona complexes will be expanded to their second stage size and that their original plans included this expansion scenario.   Stage 1 was for well known high yield processes, stage 2 will run towards current state of the art processes.

Buckle up boys, it is going to be a wild and crazy ride IF THIS HAPPENS ......
Back to top
 
« Last Edit: 03/09/22 at 10:29:27 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #287 - 03/06/22 at 03:52:06
 

https://www.tomshardware.com/reviews/cpu-hierarchy,4312.html

We are getting ready to kick into a brand new wave of Ryzen 7000 5nm AMD progress, so it is important to show the status quo with all of the Intel room heaters clustered up at the top while they are pulling double to 4x more energy to do the same jobs as the AMD units just under them.   Remember, when a current Intel Alder Lake chipset overheats it drops its processing speed A LOT just to keep from burning up ........  it quickly becomes "less throughput" than the AMD units listed just underneath it that just kept chugging away at a non-overheating level.

But, when recording big industry wide change levels you have to know where you started from to have it all make sense.

Back to top
 
« Last Edit: 03/06/22 at 04:59:21 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #288 - 03/06/22 at 04:49:38
 

DEEP BREATH TIME ........


Intel is going to bet the farm on TSMC 3nm super fin very soon.   If it works out, Intel becomes undisputed Industry Leader again.

Intel's backup plan is to become its own TSMC here in America if China invades Taiwan.   This will be very very very messy if it happens.  

Eventually, when it all works itself out ...... once again Intel becomes undisputed Industry Leader again.

If Intel stubs their toe trying to build up Intel FAB as an industry leader and China does not invade, then AMD/TSMC will continue the competition to be the industry leader.  

Roll Eyes

Odd isn't it, that AMD only wins if Intel screws the pooch again big time which is exactly what happened in the last 10 years with Intel.
Back to top
 
« Last Edit: 03/13/22 at 14:31:16 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Intel dominance restarts in 2022 ??
Reply #289 - 03/08/22 at 10:52:12
 

Notice the thread title change -----
Intel dominance supposedly re-starts in 2022



Yep, great big power draws and all ---- Intel sez it rules the PC world all over again.


We are seeing Intel bet the farm on 3nm TSMC starting late this year and rolling over into 2023.

Being clear, Intel is competing  against AMD using every dirty trick in the Intel playbook and while Lisa Su plays nice (or tries to) she is beginning to lose ground to Chipzilla on several fronts.

Joe and Rita Sixpack simply don't care if Intel runs 4 to 6 times AMD's rated power draw to create a 25% throughput advantage in the PC realm.   "See, it's better ---- says so right on the box now don't it?"

Nobody in mainframe or workstation will buy such power sucking products right now, but if Intel moves down to 3nm they simply won't have that high current draw problem any more.   Intel is shifting over to AMD style chiplets too, so that is a cost advantage Intel will glom on to as well.

SO, although I personally like AMD better, Intel looks like it is setting up to take over the PC industry again.

Roll Eyes    sigh ......

Back to top
 
« Last Edit: 05/07/22 at 21:17:31 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #290 - 03/13/22 at 17:10:10
 



https://www.tomshardware.com/news/amd-cpus-see-less-than-10-performance-drop-...

Spectre-v2 isn't going anywhere soon. VUSec, a group of researchers from Vrije Universiteit Amsterdam, recently discovered Branch History Injection (BHI), a byproduct of Spectre-v2 that affects Intel and Arm processors. According to Phoronix's latest report, while Intel has taken a performance hit up to 35%, AMD's processors got off easy at less than a 10% hit.

Yep, we have had 4 days of frantic back and forth over Spectre version 2 issues.

Here is my nutshell on this mess.

THERE IS A SPECTRE VERSION 2 ATTACK possible on both Intel and AMD that simply steps around all the existing hardware mitigations developed in the last 2 years.   Taking it all back to ground zero on fixing this mess, it is a brand new mess that is even worse than before.

Two very recent Software Mitigations have been written by the Linux boys for the new Spectre v2 attacks.   Two main mitigation variations have been written, with only one being seen as effective enough to go forward into widespread distribution.

Intel is simply eat up with the stuff and is seeing potential throughput hits of 20-35% just to do a software based mitigation that Intel couldn't even be bothered to write.   Intel can't fix their own problems and is acting all denial paralyzed at the moment.

Intel is currently spending all their time in smear campaigns against AMD products instead of trying to fix their own stuff.

Intel is looking at 20-35% hits in throughput on common processor tasks.  

AMD is looking at 5-10% throughput hits because of AMD architecture differences.   AMD has options to potentially cut down their mitigation hits to less than 5% by using on chip hardware mitigations that is built into the newest AMD processors.

This Spectre stuff totally wipes away Intel's high watts draw "processing advantage" and puts Intel Alder Lake functionally firmly back in #2 position across the board.   Intel must build their own hardware mitigations into their new silicon to be competitive to AMD.

Intel has now dropped back into their Intel default lying mode as that is all they have right now ........ BS, bad data and bigger lies.

AMD is going to try to address this mess in hardware with their newest processors as AMD has a re-programmable on board security chip built into their new hardware that can actually do this job --- while Intel has NOTHING effective that they can do at the moment other than the software mitigations that have been written by somebody else.

All of this very bad situation will change and evolve very quickly.   I look to see Intel try to ignore it all since they cannot fix it without a huge throughput hit.    I look to see MS adopt the best of the Linux boys software mitigations and put it out internal to Win 11 very soon.   I look to see the processor ranking shift some when this happens as Intel cannot ignore the performance hit to their processors any longer.

 
===================================================    two days later


Is your old machine affected by:

1) the Spectre / Meltdown version 2 new illnesses and their software mitigations --- yes it is.

2) AMD is relatively less affected by the two illnesses, losing less than 10% functionally by existing software mitigations and less than 5% by using the new proposed hardware mitigations that AMD is pursuing.     (only new machines need apply for this hardware fix)

3) Intel is being hit much harder, 30-45% throughput declines reading through on some of the older processor based equipment.


Should machine through puts be ranked in the charts using existing best mitigations to give consumers the very best most practical ranking system?   Yes, because this Spectre/Meltdown v2 stuff is part of your current reality going forward.

Microsoft will blend this mitigation stuff into Win 11 and Win 12, and the Tom's Hardware processor speed re-rankings will flow from that.  

Intel will try to ignore the whole thing until MS forces mitigations down upon them.




Back to top
 
« Last Edit: 03/20/22 at 02:46:34 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #291 - 03/15/22 at 16:17:42
 

https://liliputing.com/2022/03/lilbits-layoffs-at-arm-new-ryzen-desktop-chips...

Just read it, got lots of good rumor/news stuff in it ......

The folks at ARM Holdings are recovering from NVIDIA attempting to take over the world by owning them.   ARM finds themselves overstocked by over 15% with a bunch of old NVIDIA employees and now they have to go through the pain and cost to lay them all off.

Getting back to a "good competitive posture" as a simple ARM phone chip designer is going to be hard to do as the very best of the ARM employees that they do want to keep can find a better job somewhere else pushing the emerging RISC-V designs.
Back to top
 
« Last Edit: 04/10/22 at 20:22:10 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #292 - 03/20/22 at 02:03:10
 

Apple M2 Ultra chipsets are:

Performance superior

Cooling superior  (lower sized lithography spread over a 4x larger surface means measured temps are actually lower than AMD's temps anywhere you take the Ultra's readings)

Graphics superior

Still as vulnerable to Specre V2 and Meltdown V2 but with far fewer exploits that have been written against the Mac softwares


........ when compared to AMD or to Intel.


Structurally, the Apple M1 Max and M2 Ultra look very much alike EXCEPT the M2 Ultra is physically doubled.   Yes, it is two identical M1 MAXs laid out top to bottom on the same substrate.  

Think Threadripper big or Exynos big if you need something to compare M1 Ultra to.



The chipset is 4 to 6 times larger than a standard A4  socket AMD chipset, it can pull power like 4-6  of those A4 AMD chipsets and it  has the throughput of 4-5 AMD chipsets.

Apple has built a series of HUGE BEASTS for their largest processors.   Intel no longer has the biggest power draw nor the largest heat produced ---- Apple is now the king of Huge and Excessive.

Apple has a working design for a workstation and a mainframe style chipset now .......



===================================================


Intel is a reactive company .......   Intel reacts to what its competitors do (generally by copying them).

Intel was all set to compete with AMD.  

Now Intel has Apple and NVIDIA to deal with.

Poor Intel.   More and bigger challenges.

Intel is now suddenly #4 instead of #2 with a much much much bigger gap in performance to try to overcome.


Wink

 
Back to top
 
« Last Edit: 03/23/22 at 07:24:37 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #293 - 03/22/22 at 11:58:36
 

https://liliputing.com/2022/03/nvidia-grace-cpu-superchip-is-a-144-core-arm-p...

Nvidia shows us what their plans for ARM Hegemony would have looked like if Nvidia had been able to pull off their ARM revolution while completely controlling ARM.   Now Nvidia has to compete fairly with Apple and all the others on "a flat and equal playing field" ......



NVIDIA has unveiled a new Grace CPU Superchip for servers that packs 144 ARM CPU cores into a single socket using the same trick Apple used for its M1 Ultra processor: smush two chips together using a high-speed interface.

For the M1 Ultra, Apple developed what it calls “UltraFusion” architecture. NVIDI calls its interconnect architecture NVLink-C2C and says it supports bandwidths “of 900 gigabytes per second or higher” while delivering far better efficiency than a PCIe Gen 5 interface.

As for the Grace CPU Superchip, in addition to 144 ARMv9 CPU cores, the chip supports LPDDR5x memory with 1TB/s bandwidth and Error Correction Code (ECC). The CPU consumes less than 500 watts when the Grace CPU Superchip ships in the first half of 2023.



Another 500 watt plus sized monstrosity created while pulling together another "doubled up" mainframe sized ARM super chip .......


Roll Eyes    Wow, this one certainly would have would pushed Intel back to #4 position in the new world pecking order .......


I like that 1 terabyte per second throughput bus design though, very good throughput compared to any current PC bus designs .......



Back to top
 
« Last Edit: 03/23/22 at 09:16:16 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #294 - 03/26/22 at 05:18:18
 

Intel has just released a new power supply spec that is somewhat revealing.

Intel has spec'd their newest class of power supplies has to provide a minimum of 1,000 watts of power.   1,500 watts is included in the spec range on the upper end.

Half of this will be likely needed for the Intel graphics, the rest is for the processor and cooling package.

Intel is signalling that they will want to play in the new "super huge" brackets right along with Apple and NVIDIA.
Back to top
 
 

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #295 - 03/27/22 at 08:28:30
 

https://hothardware.com/news/amd-ryzen-7950x-specs-leak-170w-tdp-16-cores

Pre-release rumors from AMD reiterate 12-16 cores that make up distributed chiplet based graphics, higher 170 watt current ratings (covers CPU and graphics functions, remember) and that the Ryzen 7 level will come out hard in advance of the other AMD chipset levels.

This uneven roll out is being driven by total chiplet supply issues since the exact same chiplets are used up and down the entire AMD line.   The chiplets with built in gaming graphics are going to start out in short supply and these chiplets will be allocated to Ryzen 7 7950x until the supply level increases.

AMD will hit the most important, most contested gaming segment first, and they will hit it hard.

AMD's next line of desktop processors will be named the Ryzen 7000 series, and they'll be a complete break from Socket AM4 and the previous gen Ryzen platform that it hosts. Ryzen 7000 processors will be based on the Zen 4 CPU architecture and use an LGA socket design rather than the micro-PGA used by Socket AM4.

If rumors are correct, the mainstream Ryzen 7000 desktop processors will be based on the "Raphael" design. Aside from Zen 4 CPU cores, Raphael is supposed to include a small set of Radeon GPUs in each processor chiplet, meaning that for the first time, we'll have mainstream desktop Ryzen processors that don't require a discrete graphics card to be used.  yep, just like Intel's best products.

That integrated GPU is likely contributing to what are rumored to be surprisingly high thermal dissipation requirements for Raphael. We had heard in the past that the Ryzen 7000 series chips would have power ratings up to 170 Watts, despite that they will continue to max out at 12-16 cores on the top-end, just like the Ryzen 5000 and Ryzen 3000 series.

Regular leaker Greymon55 tweeted yesterday confirming that information and also adding that there will be Raphael-based Ryzen 9 SKUs at 105W and 65W, too. The top-end 16-core Ryzen 9 7000-series model, likely called something like "Ryzen 9 7950X", will supposedly carry a 170W power rating, while the 12-core model will apparently come with a 105W design power.

Several replies in the thread remark that it seems like an extremely high power rating considering that extant 16-core Ryzen 9 chips are rated for 105 W. As Greymon55 points out, these upcoming chips have a lot of things going for them that justify the increased power limit, including higher clock rates, the aforementioned integrated GPU, and brand-new AVX-512 support.
Back to top
 
« Last Edit: 04/08/22 at 16:16:15 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #296 - 03/27/22 at 08:35:04
 

https://hothardware.com/news/amd-ryzen-zen-4-cpus-integrate-radeon-rdna-2-gpus

Let's talk some more about those AMD chiplets that each carry their own set of graphics cores and spread over 12-16 chiplets can aggregate together to make up a full gaming level graphics solution.





Leaked documents arising from the recent Gigabyte security breach is the gift that keeps on giving. Not that we condone such actions in the slightest, but now that there are interesting tidbits about unreleased products out in the wild, we can't bury our heads in the sand, either. And so with that said, the leaked documents seemingly confirm a previous rumor suggesting all of AMD's next-generation Zen 4 CPUs will have integrated graphics.

This is outlined in yet another published document from when hackers pilfered Gigabyte's servers, who then tried extort a ransom from the company. Those efforts were apparently unsuccessful, as the hackers proceeded to publish a trove of stolen data online, containing documents outlining various details about unreleased products from multiple companies, including AMD and Intel.

In this case, the folks at Chips and Cheese got their mitts on the data dump and posted a handful of diagrams and other information related to Zen 4. One of the slides details the upcoming AM5 socket that will replace AM4, and what features it will be compatible with, like DDR5 memory and so forth. This is also the chart that suggests all Zen 4 processors will have integrated graphics.





As you can see above, the chart highlights three types of "Family 19h" processors, which are Zen 4 chips. In each case, it points out on-chip graphics support. So in other words, integrated graphics will not be the exclusive domain of dedicated APUs as part of the Ryzen G-series, but available on all product lineups, to some extent.

We say "some extent" because the document also notes, "Some OPNs...may not support GFX." We read this to mean that on some processor SKUs, the integrated graphics will still be there, but will be disabled, just as Intel does with its F and KF processor models.

Assuming the information is accurate, this will almost definitely entail the pairing of RDNA 2 graphics with Zen 4. This is something that has been previously rumored, with a supposedly leaked roadmap showing AMD's next-gen CPUs pairing Zen 4 with "Navi2" (RDNA 2).

There is still plenty of time speculate. Just a few weeks ago, AMD CEO Dr. Lisa Su reiterated that Zen 4, which is being built on a 5-nanometer manufacturing process, is on track to launch in 2022. Same goes for AMD's next-generation RDNA 3 graphics products.

Zen 4 will also bring support for DDR5 memory, just as Intel is doing with its upcoming Alder Lake processors. However, the same set of leaked documents seemingly confirm that AMD will stick with PCI Express 4.0 on the consumer side, and only support PCI Express 5.0 on its next-gen EPYC processor. That really shouldn't matter a whole lot in terms of real-world usage (for consumers), but if that's the case, it does give Intel bragging rights.

It's also expected that Zen 4 will hold the line with 12-16 cores and 24-32 threads among the mainstream lineup. Combined with a rumored 20 percent lift in IPC performance, Zen 4 could be a huge upgrade over Zen 3.



 AMD Zen 4 processors built on TSMC 5nm engineering samples of the chiplet based distributed graphics core Ryzen 7 and the Ryzen 9 are known to be in the hands of board makers and systems builders at this time.

3nm Zen 5 will follow along later in a year or two with its planned core count increases to make the graphics aggregation tricks work even better.  12 -16 core equivalents (or threads if you prefer) is what it takes now  (Ryzen 7 at a bare minimum)  but this will likely change as AMD rolls down to 3nm lithography late next year.  Rumors say the core counts on all levels will roll up to at least the counts used by the next bump up as there is massive amounts of room to do this core count increase inside the same AM-5 socket because of the much smaller more dense 3nm lithography.

Both Intel and AMD are going to provide strong gaming graphics across the board going forward.   This cannot help but to hurt NVIDIA as it means as long as Intel and AMD stay current on their graphics standards and continue to provide some really good gaming graphics then NVIDIA will lose out on supplying the video cards to these machines.  

Remember, video cards are one of NVIDIA's main market segments and video cards are the cash cow that makes most of NVIDIA's money for them ......

Back to top
 
« Last Edit: 04/03/22 at 20:19:21 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #297 - 04/06/22 at 18:01:09
 

https://www.businesskorea.co.kr/news/articleView.html?idxno=90334

https://www.google.com/search?q=Samsung%E2%80%99s+next+flagships+could+have+M...

After Qualcomm had ditched 4nm Samsung processors for a miserable 25-35% total yield rate we knew there was big trouble brewing in Samsung land.

Here is some really major confirmation of that trouble, when Samsung itself begins to use Mediatek brand processors in their very own front line products in preference to Qualcomm processors or their own Samsung designed processors.

This wrinkle has never happened before.

Apple and Mediatek own the cell phone processing world right now.   Simple ARM designs are not the best any longer, the advanced ARM X2 custom designs are the current basis of the winning industry dominant Apple Mediatek in house re-designs.  

Home made and very much custom designed processors from Apple and Mediatek are leading the phone industry pack right now.   Both of these custom design chipsets have customer designed ARM X-2 cores in them for their main horsepower source.






Back to top
 
« Last Edit: 04/10/22 at 20:27:23 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #298 - 04/08/22 at 11:51:15
 

https://www.tomshardware.com/news/amd-future-cpus-could-feature-direct-attach...



AMD has patented a processor featuring a machine learning (ML) accelerator that is stacked on top of its I/O die (IOD). The patent indicates that AMD may be planning to build special-purpose or datacenter system-on-chips (SoCs) with integrated FPGA or GPU-based machine learning accelerators.

Just like AMD can now add cache to its CPUs, it might add an FPGA or GPU layer on top of its processor I/O die. But, more importantly, the technology allows the company to add other types of accelerators on top of future CPU SoCs. As with any patented work, the patent doesn't guarantee that we'll see designs with the tech come to market. However, it gives us a view into what direction the company is moving with its R&D, and there is a chance we could see products based on this tech, or a close derivative, come to market.

Stacking AI/ML Accelerators on Top of Memory on top of a standard socket I/O die

AMD's patent titled 'Direct-connected machine learning accelerator' rather openly describes how AMD might add an ML-accelerator to its CPUs with an IOD using its stacking technologies. Apparently, AMD's technology allows it to add a field-programmable processing array (FPGA) or a compute GPU for machine learning workloads on top of an I/O die with a special accelerator port.

AMD describes several means of adding an accelerator: one involves an accelerator with its own local memory, another implies that such an accelerator uses memory that's connected to an IOD, while in the third scenario, an accelerator could possibly use system memory, and in this case, it does not even have to be stacked on top an IOD.




The rumors progressed from rumors about how AMD could do it, to patents saying AMD has exclusive rights to the AMD based technology to go do it, and now the post patent release rumors extend to proposed release times and the exact lines of AMD product to be affected by the new technology now that the patents have been granted.

Intel runs off secrecy and Intel BS while AMD runs off logical chains of conjoined technologies and solid partnerships with other companies like TSMC and XILINX ......


Wink


ONCE AGAIN, THE AMD-XILINX-TSMC PARTNERSHIP  WITH CONJOINED DISTRIBUTED CHIPLET BASED PROCESSING THAT IS BEING BUILT AND PACKAGED AT THE NEW TSMC FACILITIES USING PROCESSING STACKS THAT ARE TO INCLUDE THINGS LIKE TSMC IN-CHIP LIQUID COOLING.  THIS OFFERS SOME REALLY REALLY MASSIVE ADVANTAGES TO AMD,  GIVING AMD SOME WORLD CLASS PROCESSOR COOLING TECH THAT INTEL ALSO REALLY DESPERATELY NEEDS (but doesn't have) FOR THEIR OWN SUPER HIGH WATT DRAW INTEL ROOM HEATERS.




Back to top
 
« Last Edit: 04/10/22 at 04:59:40 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12639
Fayetteville, NC
Gender: male
Re: AMD & others --- Intel dominance in 2022
Reply #299 - 04/09/22 at 18:47:07
 

Now we have looked at something huge and complex, let's go check out the simple and cheap end of the pond ......




The MangoPi MQ Pro is a single-board computer that looks like a Raspberry Pi Zero, but instead of an ARM-based processor, the MQ Pro is powered by a RISC-V chip.

MangoPi first announced it was developing the MQ Pro in January, and now it’s available for purchase in China for about $20. It should be available worldwide soon.

At the heart of the little computer is an Allwinner D1 processor, which is the same 1 GHz, single-core, 64-bit RISC-V processor we’ve seen used in other small cheap devices including the Sipeed Lichee and Nezha.

Like a Raspberry Pi Zero, the little computer measures 65 x 30mm (2.6″ x 1.2″) and features a few ports including two USB Type-C ports, a mini HDMI 1.4 port, and a microSD card reader plus a 40-pin Raspberry Pi-compatible GPIO header.

While that’s a pretty limited set of ports, the Mangopi MQ Pro could also work with carrier boards that will add features like full-sized Ethernet and USB Type-A ports.

MangoPi will offers versions of the MQ Pro with 512MB or 1GB of DDR3L memory, and the little computer supports WiFi 4 and Bluetooth 4.2 wireless connections.

There’s some documentation for the MQ Pro at the MangoPi website and the company’s GitHub page, including schematics, datasheets, tools, and suggested firmware (the board will be compatible with Tina-Linux, but as of early April, 2022 the firmware isn’t available for download yet).

The MQ-Pro will be MangoPi’s second mini PC with a RISC-V processor. The first was the even smaller 1.6″ x 1.6″ MangoPi MQ, which has an Allwinner D1s processor, 64MB of RAM, two USB-C ports, a microSD card reader, WiFi and Bluetooth, but no traditional video output port (although there is a 15-pin DSI FPC connector).


Currently limited to just one 64 bit core and at a price that fluctuates between $10-20, one wonders what the upcoming 4 core versions of this will be able to handle.   Tina Linux (developed by Allwinner specifically for the D1 board products) isn't a widespread powerhouse of an operating system, even when compared to Raspian (built for the Raspberry Pi products).

Right now any older Raspberry Pi is a better unit with much more fully developed software.   Raspberry Pi 4 can better fake being your main PC "more acceptably" if you need it to do so.   So can any old Chromebook that exists anywhere in your family.

Grin            At $163 Raspberry Pi is no longer quite as compelling as it used to be.

https://www.amazon.com/seeed-studio-Raspberry-Personal-Including/dp/B08W2M2MM...



Don't look to  Broadcom to supply a new chip to Raspberry Pie this year.   Broadcom just got bought out by Avago two years ago and is right now being combined with two other more recent tech Avago purchases.  So, Broadcom is still being REFOCUSED on what Avago wants it to build.   Alton Upton and Raspberry Pi guys are seen as a "past casual hobby" by the new Avago owners, who will soon stop building the Pi processors just as soon as industry demand for the base chipset drops off.

Broadcom in general is losing their bottom end products to RISC-V just as soon as a good enough RISC-V design gets built.  Expect Alton Upton and crew to switch over their processors to RISC-V as soon as this is feasible to do as Upton's old big discounts at Broadcom are becoming a past tense thing as well.




Back to top
 
« Last Edit: 04/12/22 at 04:37:24 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Pages: 1 ... 18 19 20 21 
Send Topic Print


« Home

 
« Home
SuzukiSavage.com
05/20/24 at 12:23:12



General CategoryThe Cafe › AMD & others --- Intel dominance in 2022


SuzukiSavage.com » Powered by YaBB 2.2!
YaBB © 2000-2007. All Rights Reserved.