Donate!
Welcome, Guest. Please Login or Register :: View Members
Pages: 1 2 3 ... 21
Send Topic Print
AMD & others --- Intel dominance in 2022 (Read 9739 times)
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
AMD & others --- Intel dominance in 2022
08/10/20 at 02:42:40
 

OK, a new thread emphasis is needed as Intel is acting all "gone" at the moment so having Intel as a thread focus is sorta silly, right?

Intel is still missing in action (for 4 weeks now) and AMD is still doing a weekly stream of BRAND NEW stuff that is both revolutionary and somewhat exciting.

https://www.tomshardware.com/news/amd-patent-biglittle--hybrid-computing-impl...         Scan this article as it is NEW STUFF you will see in future years.

https://www.fool.com/investing/2020/08/08/why-amds-rally-could-be-far-from-ov...



The gist behind this impressive AMD "stock value bump up rate" comes from AMD beating up strongly on NVIDIA instead of just beating up yet further on Intel.

Every Ryzen 4000 APU processor that sells now means one less graphics card is needed, so NVIDIA gets down valued accordingly, as does Intel for not selling the CPU side of that Intel/NVIDIA matchup.   AMD is going to hurt both companies with their Ryzen 4000 APU processors .....  not to mention what the 5nm Ryzen 5000 APU processor series will do to them in 2021.

Intel is selling at $48 a share at the moment, about 45% of AMD's current price.


===================================================


Right now AMD sees no need for a whole lot of Big-little in their processor mix, but AMD can see that need coming up in the 5nm future as the phone boys will roll up to become most of AMD's state of the art competition.

Intel's recent foray into Foveros based Big-little was pretty much a complete flop so far, but that does not mean the phone boys will be nearly so clumsy about it --- they KNOW Big-little down deep in their bones after all and they also understand all the multi-layer lay down tricks you can easily do at TSMC....

For AMD to patent a chunk of this new tech specifically for PC processors way back in 2017  is sorta like a pre-emptive strike, sorta kinda like against technology thieves  .....   and it is not like the Chinese phone boys don't do a lot of commercial espionage and jest plain tech stealing anyway so having a strong defensible patent on your PC scale Big-little from TSMC is jest smart business.
Back to top
 
« Last Edit: 03/08/22 at 10:58:16 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Eegore
Serious Thumper
*****
Offline

SuzukiSavage.com
Rocks!

Posts: 7982

Re: AMD & others --- from AMD dominance in 2020
Reply #1 - 08/10/20 at 12:09:33
 

"Every Ryzen 4000 APU processor that sells now means one less graphics card is needed,"

 I've tried explaining this to people but I am not knowledgeable enough to explain the intricacies appropriately, or plain stupid questions for that matter.

 Is there a simple breakdown somewhere that you know of?
Back to top
 
 
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- from AMD dominance in 202
Reply #2 - 08/10/20 at 13:34:26
 

AMD Ryzen 4000 APUs include gaming level built in graphics layered right on the AMD APU's cpu unit with enough memory layered on to run the CPU and the GPU.


You used to have to buy an Intel CPU

and lots of system memory

and a NVIDIA graphics card to get this same gaming functionality.

The total count of Ryzen 4000 APUs sold will be approximately equal to the loss of the same count market share for both Intel and NVIDIA.

...... approximately equal, not exactly equal .......  but enough to hurt a lot.


Back to top
 
 

Former Savage Owner
  IP Logged
Eegore
Serious Thumper
*****
Offline

SuzukiSavage.com
Rocks!

Posts: 7982

Re: AMD & others --- from AMD dominance in 2020
Reply #3 - 08/10/20 at 16:43:48
 

https://wccftech.com/intel-claims-gaming-real-world-benchmarks-leak-10th-gen-cpu
s-vs-amd-ryzen-4000-renoir-apus/

 Obviously Intel has this handled right?

 My favorite part:

"Intel is still relying on benchmarks to showcase the potential of its 10th Gen CPUs whereas its CEO stated that the industry should stop focusing on benchmarks."
Back to top
 
 
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- from AMD dominance in 202
Reply #4 - 08/10/20 at 22:04:37
 

Intel shows back up after a month of silence --- with Intel immediately getting caught out lying on their benchmarks again.

The AMD Ryzen 7 4800H, on the other hand, is an 8 core and 16 thread APU on a 7nm process node which clocks in at 2.9 GHz base and 4.2 GHz boost.  NOTE PLEASE, Intel's current best laptop chip  (built at Samsung ???)  is being compared to a last gen AMD APU instead of a current most modern gen AMD 4000 APU.

Careful reading of the article also notes that the Intel unit is allowed by Intel specifications to pull over 80 watts of power during the actual test results collection -- Intel is SYSTEMICALLY CHEATING in other words, being significantly overclocked during the test collection period by the Benchmark Group people -- while the only slightly exceeding in performance of the AMD APU that consistently pulls less than 45 watts and is not being overclocked at all.

I wonder how long a real Intel laptop can support an 80 watt thermal load (2x normal thermal loading) before the laptop is BIOS throttling all to hell and gone just to keep from smoking the CPU and the smaller separate GPU?  30-40 seconds mebbe ???

To run full duration of this benchmark at 80 watts would destroy the laptop, so it is fairly clear that this test is NOT being run off the cooling system of a common laptop.

And who the heck is this Benchmark Group anyway, the ones who are cooperating with Intel on this very very tilted and tweeked testing?   Intel always seems to  like to use a little shill company for a front man when lying so blatantly on performance testing.  

However, all of this black bag manipulation tricks does is show that Intel is trying to defend their laptop market share from the onslaught of the latest generation of Ryzen 4000 APUs.

Something must be hurting Intel ---- pretty badly I think.

Will Intel be able to even try to lie effectively six months from now when faced with the first wave of 5nm Ryzen 5000 APUs at over 5ghz single thread performance at an even lower power draw?

https://wccftech.com/amd-ryzen-5000-cezanne-mobility-apu-spotted-features-eve...


===================================================


https://semiaccurate.com/2019/12/10/intels-benchmarking-antics-questioned/    RECOMMENDED READING

This is not the first (or 10tth) time Intel has played black bag games with a "little no-name benchmark testing firm" .

Read this for a timeline of all the Intel benchmark cheating leading up to the FTC ruling that what Intel has been doing with benchmarks was ILLEGAL ......

Intel then quit cheating for a little while, but in the last 6 months Intel has resumed all their old benchmark tilting and cheating efforts all over again.

Both Apple and AMD have complained about this, but the FTC has not yet done any form of enforcement on their past decrees.

Perhaps the fraudulent "Benchmark Group" shenanigans will prompt the FTC to go ahead and bust Intel for this latest direct violation.
Back to top
 
« Last Edit: 08/15/20 at 09:07:56 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- from AMD dominance in 2020
Reply #5 - 08/11/20 at 12:07:09
 

https://liliputing.com/2020/08/mozilla-lays-off-250-employees-a-quarter-of-it...



The corporation responsible for developing the Firefox web browser is laying off about a fourth of its workforce. As of 2018, the Mozilla Corporation had over 1,000 full-time employees. Now Mozilla has announced it’s restructuring the organization and laying off 250 people.

Mozilla says the global COVID-19 pandemic has taken a toll on the corporation’s financial resources and downsizing the organization will help ensure it can continue operations.

Among other things, that means closing down Mozilla’s operations in Taipei, Taiwan and in addition to the 250 jobs that are being eliminated, about 60 people will transition to new teams.

Somewhat optimistically, the announcement also suggests Mozilla will try to do more with less by “acting more quickly and nimbly,” and being more open to experimentation, adjustments, and partnerships with outside organizations.
Back to top
 
 

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- from AMD dominance in 202
Reply #6 - 08/11/20 at 20:09:38
 

Let's talk about "layering" when building a CPU processor.

Intel does it by clumsily stacking entire chipsets on top of each other with physical vertical solder connectors between them.   1-3 stacked chipset layers is all you can do with this trick and it is EXPENSIVE to do it at all.  Defects quickly mount up when using Intel Foveros whole chip stacking techniques and the stacked chip heat transfer to the heat sink and up the fins and into the fan air flow are much much poorer.

TSMC does it (at 5nm and below) by using two methods.   First was the deep burn focused EUV  (which has to be held down to 2-3 layers to keep the nagging build up of errors away)  and second with the latest ASML machines that can put down fresh new layers of "over laid" metal coatings followed by top optical coatings and burning the chip all over again pausing on the places where they want a layer to layer connection to allow for burn through.   This makes up a layered complex chipset that is not plagued with low yields like the previous methods.

FIFTEEN 5nm functional coating/burn/coating vertically connected "metal layers" can now be done at TSMC with these newest sorts of tech.   Sheets of fast L-1, L-2 or L-3 memory (or a heavy duty graphics processor or an AI co-processor or whatever else you need) can be added right on top of where they will be used on the main CPU itself.  No sockets, no bus, no wasted space ......  and at the lowest cost possible and resulting in the fastest connection transfer speeds possible.

This involves machinery that can actually lay down new burn coating layers while a chipset is actually being burned and built and burned again.   This only works on deep burn focused EUV machines equipped with additional coating laydown functionality, machines that are only being built in by ASML (yes, some very expensive machinery to buy, but cheap to run once you own it and have a good deep burn design all worked out and debugged).

AMD has designed an entire wafer load of chiplets using this 5nm process (actually they are on the 5th and final version of this chiplet development right now).   Trial yields from the latest trial were over 80% which is a very good yield number for a brand new process.  

Trial run fully assembled AMD processors have been put together from these chiplets and then sent to the boardmakers to have all the assorted motherboard and BIOS stuff worked out completely.   Once again, they are working on the final version now.




This advance in lithography is integral to why Intel is losing out in an industry that they actually created in the decades past

..... and you will have to understand this layered deep burn lithography at least a little bit to even be able to follow the next few years of computer advancements.



Read up here to get a primer on the subject.

https://www.techspot.com/article/1840-how-cpus-are-designed-and-built-part-3/

Back to top
 
« Last Edit: 08/12/20 at 23:14:41 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #7 - 08/12/20 at 20:19:08
 

https://videocardz.com/newz/intel-tiger-lake-features-10nm-superfin-architecture

https://liliputing.com/2020/08/intel-tiger-lake-laptops-are-coming-soon-if-th...




OK, we know Intel has just leaked 20 gigs of "top secret" stuff from the abandoned 10nm and 7nm processes that Bob Swan has just recently given up on,
with Bob planning on buying a bunch of TSMC 6nm lithography built production instead.

Some of this older style Intel homemade stuff will still come to market this year anyway (even if it performs significantly no better than some of the faster existing Intel 14nm PC chipsets) mainly because the 10nm process lines are already built now and the lines are up & running as best they can run (and in a bean picker's world such huge capital investments of that size must be run at full rate 24/7 in order to attempt to pay for themselves).

Bob Swan has also said that all the folks he fired a few weeks ago "tended to overcomplicate things".    Wow, what an understatement that was, Bob.

I also understand more of why Bob Swan thinks that all these layers of these esoteric people simply lost track of "competitiveness" and spent all their time designing very very complex technically nice things that could not be brought into reality in a timely fashion on real world Intel equipment and this was why Intel has lost its way.

I think Raja Koduri and Bob Swan holds the final responsibility for allowing this current EXTREMELY EXPENSIVE Tiger Lake "ongoing design error" cluster fook to go to build and Bob Swan needs to be let go as well right after he fires Raj Koduri for this sad non-competitive Tiger Lake mess that will launch on the second of next month.

Intel Tiger Lake (available in units this fall) will swing only 1/2 the CPU core count of the recently released AMD Ryzen 4000 competition but Intel Tiger Lake will actually pull more power from the battery in order to run itself.  

The on board graphics of the two will be somewhat roughly comparable between the two brands (within a 40% advantage going to AMD) but with all the recent Intel cheating and black bag benchmark games that are going on right now in the industry trying to make a real comparison without using near identical laptops is nearly impossible to do.  

Really, until HP or Lenovo come out with the two chips running in the same exact laptop (battery screen and memory the same as close as can be done) a simple empirical test series on the two APUs will not be able to be done.

Tongue          ................  Recommendation:  AVOID Intel 10nm and 7nm laptop chipsets like the plague as the sheer expense involved in making this 10nm + and ++  and +++ stuff will be astronomical compared to the already slightly superior and already much less costly AMD Ryzen 4000 processors



Back to top
 
« Last Edit: 08/14/20 at 04:55:25 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #8 - 08/13/20 at 09:13:05
 

https://www.notebookcheck.net/The-Ryzen-7-4800U-powers-past-Tiger-Lake-U-in-T...

Tipster @TUM_APISAK recently spotted a leaked 3DMark Time Spy benchmark listing for the Ryzen 7 4800U. The 15W 8-core, 16-thread Renoir SoC scored 1045 on the GPU test, but delivered a remarkable 6061 on the CPU test.

This is nearly twice as high as what the Ryzen 7 3780U managed, and nearly 40 percent faster than leaked Time Spy CPU scores for an upcoming Tiger Lake U SoC.

The leaked 4800U Time Spy scores cement the upcoming Renoir SoC's position as a potential game-changer in terms of power efficiency. Early reports indicated that the Ryzen 7 4800U would potentially offer double the battery life of competing Intel parts. It now appears that it'll also deliver significantly better performance to boot.

The gains in both performance and efficiency largely stem from AMD's decision to use 7nm Zen 2 cores in its Ryzen 4000 mobile lineup. These offer significant IPC gains over the Zen+ cores in Picasso parts. However, the move to 7nm also allows for higher clocks and higher sustained performance.

The Ryzen 7 4800U's 2x additional physical cores play a big role in better performance, too, with AMD managing to deliver 8 physical cores and 16 threads at a frugal 15W TDP.




OK, compute power throughput and power draw to power the unit during the test can be easily measured and compared.  

Graphics throughput will require very same same constructed units doing the same tests in equivalent environments or by playing the same game over a same same endless loop.  

Same same units will be needed as I think the real world differences will be there, but in the end the results will be all muddled up by Intel's black bag benchmark tricks which are built into, well ........ everything ........

Cost to buy will be easy to compare, but also fairly easy for Intel to fudge in the very short term.

Intel REALLY REALLY NEEDS a big widely reported win in the press here, boys and girls     So, look to see some very serious pricing subsidy games being played and some very serious "environment tweeking" games and a little outright benchmark cheating going on (yes, all over again) to let old cheatin' Intel give out the temporary illusion of the big win that Intel so badly needs.


===================================================


This Tiger Lake is the one must be seen a BIG WIN as the next Intel Lake that is coming, Alder Lake is a Big bigger from Intel that intentionally tries to go lower power and lower performance to get some much needed better battery life (Tiger Lake really sucks for battery life).

This is Alder Lake stuff is NOT what you should be doing in a race where you are so far behind right now anyway.

AMD has so many more physical cores that ARE so much more efficient that Intel is grasping at straws and making up specialty hardware just to show some sort of win --- any sort one sided win at the cost of something else.

When AMD rolls out 5nm and 4 threads per core then AMD laptop products will process in massive parallel just like a mainframe does and the competition between mainframe processing and laptop processing simply isn't a competition any more on any front.

Alder Lake is so retro and so inefficient it requires a BIGGER socket as it is a much BIGGER chipset.   AMD sockets stay the same size (with much greater core counts) and get lighter and draw a lot less power.

All these Lakes are simply Intel's old plans that are carrying forward in an environment where they have already failed.
Back to top
 
« Last Edit: 08/16/20 at 03:01:27 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #9 - 08/13/20 at 15:26:59
 

https://www.tomshardware.com/news/intel-alder-lake-x86-hybrid-cpu-for-desktops

Intel is trying to make a case internally for Big-bigger for desktop chipsets.  

Just read it, it does not take long.

When you read this, be thinking about TSMC's 6nm lithography two years from now and all the neat Big-little tricks the cell phone boys will be doing with ARM PC chip designs.

I find it refreshing that AMD has taken out actual patents on Big-little for PCs that have johnny come lately Intel having to call theirs Big-bigger.  

It makes me smile some to see Chipzilla on their back foot to the phone boys for a change.


===================================================


And this brings up my final question for the day ---- what is the compute industry going to do about Intel intentionally crippling Intel's competition using Intel's control of the industry BIOS library system (and the base system drivers, let's not forget them).

We are not talking about Intel fixing things so it is working better for Intel's own products (it can't, it is stuck at 14nm and at a poorly working 10nm).
Intel simply can't get any better than AMD.   Intel has tried and tried and tried to get better --- and failed again and again and again.

We are now talking about something different (and far worse).  We are now talking about Intel intentionally screwing up the competitor's functionality REPEATEDLY week after week first with one processor library area followed next week by another BIOS settings area followed next week by a driver area.



It must be sad that the only way you can try to win the race is to secretly trip up the other runners --- repeatedly no less.
Back to top
 
« Last Edit: 08/15/20 at 10:28:58 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #10 - 08/15/20 at 07:54:21
 

Spent some time off in rumor land this morning .....  there is interesting stuff coming up with 5nm AMD chiplets that are being run in trial lots as we speak.

Threads per AMD physical core can be either 2 or 4 using 5nm, whichever makes sense with the OS and the intentional use it is to be put.    OS products for mainframes can really use this sort of thread count but no common desktop OS can utilize it well right now.   Linux can, though.   IBM used to use up to 8 threads per physical core and they wrote that functionality into Linux, where it still remains.

AMD 5nm cores are much smaller, so you can put about twice as many physical cores on the same AMD AM4 socket size if you downsize the center core from 14nm down to 7nm as AMD is starting to do.   So, socket and die size stays the same, but functionality on an AMD AM4 socket chipset can just about double ......

Pin outs on the AM4 sockets are not being strained as so much of the I/O communications now takes place inside the AMD CPU assembly itself and only leaves it as a finished output stream.    AMD chips with good on chip graphics don't use the bus for much except to handle inputs and outputs (no bus to graphics card or bus back & forth to memory takes place for much any more).

14 layer 5nm TSMC lithography means TONS of L1 and L2 system memory can be put down right over the CPU for fastest use.   Raw compute speed is increasing with each new generation of chiplet.

Ditto for AI co-processors and graphics layers.   Close = fast and fast counts, you know.   And this is all "right on top closeness" that is requiring NO BUS INTERFACE is making for the fastest memory and fastest graphics access yet.

AMD has released some general info that a chiplet based CPU's cost is about half what a monolithic core CPU used to cost to do.

Intel is behind the 8 ball as they are currently Foveros stacking 2-6 whole monolithic cores on top of each other, at a cost of like 4-10x as much as AMD's chiplet based processors.

Yields on AMD's chiplet based processors are running at over 80%  -  yields of 90-95% is not uncommon on some AMD processors.  

And when you mess up a Foveros stack on an Intel processor you scrap out the 2-6 full sized chips that were used to build up the stack.

Intel's yields are likely much lower with the Foveros stacking of full sized chips being somewhat error prone both in the stacking and in the complexity of what you are stacking.

Rumor has it that Intel is done, that no matter what they come up with they can't do it fast enough to keep up with AMD and the phone boys who are moving 4-10x faster than Intel can move.
Back to top
 
« Last Edit: 08/15/20 at 13:27:18 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #11 - 08/15/20 at 16:43:34
 

I am not the only one who reads the tea leaves this way ......

https://ownsnap.com/amd-zen-4-ryzen-5000-desktop-cpus-might-use-l4-cache-4-th...



Remember last year’s industrial leaks about AMD is up to something with it’s CPUs thread count? Exactly, SMT4 (Simultaneous Multi-Threading) feature may not come to Zen3 but it will be implemented for Zen 4 CPUs running on 5nm transistor shrink with matured strong architecture. It translates into 4 Threads Per Single Core feature that elevates overall performance up to 20-30% apart from the 40-60% bump the processors will be giving to processing power.

Large IPC (Instructions Per Cycle) increase is also seen on horizon by 10-15% uplift in performance since memory bottlenecks can finally be reduced significantly using DDR5 fast access memory. Per SK Hynix claims, the DDR5 memory generation might run at 8400 Mhz effective speed which is a sweet dream of APU users. New AVX-512 instruction set is to be included for sure as previous leaks suggested.

Novel chipset design optimized for Zen 4 futuristic architecture is inbound to Ryzen 5000 processors’ performance tweaking. More importantly, X3D chip packaging solution will be implemented too because AMD strongly presented 3D stacked method to solve many previous unsolved latency issues at it’s Financial Analyst Day two months ago. Obviously, 3D packaging allows billions of transistors getting together stacked in three dimensional positions to achieve maximum efficiency and performance.

Larger Level 2 cache with a size of 1 MB instead of 512 KB per core is also supported by the latest leaks on Zen 4. Possibly, Level 4 cache appears to be featured in some Ryzen 5000 desktop CPU models but not all of the mobile processors. Current PC industry still utilizes Level 3 Caching method so having DDR5 super fast memory in future generation CPUs you might want to think that L4 cache is a necessary complement each other.


Bigger cache sizes will be required to support 4 active threads per processor.   Expect sizable Level 4 caches to be included on models specifically structured to support the heavy use of 4 active threads per processor for large data crunching tasks.

Expect many layered processors at 5nm to support all of this L-1 through L-4 cache stuff.  

Also expect some AMD mobile APU laptops that can do jobs that used to require a Xenon Scalable workstation ......


.......  change, she comes ........
Back to top
 
« Last Edit: 08/17/20 at 03:42:53 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #12 - 08/17/20 at 03:54:00
 

Back from Rumor Land again ......

Time for a reality check --- Intel has only half the core count of AMD, addresses their systems with a PCIe 3.0 bus instead of a PCIe 4.0 bus like AMD uses --- Intel by and large totally lacks those very fast on-chip L-1-L3 operating caches.   Lithography-wise Intel is at 14nm and AMD is at 7nm.   Each company is working on their next generation of lithography but it is still clear that AMD is already two generations up on Intel and is likely to stay that way.

AMD is less than 6 months away from their next lithography downsizing.   Intel has not announced any defined reliable progress pathway to a new lithography level and Intel will have to buy chipsets from TSMC or Samsung whenever they are able to schedule enough free production time with TSMC or Samsung.

Although Intel  has some actual 10nm and 7nm equipment physically up and running, Intel has failed to make a good reliable transition to these new lithography equipment in a way that offers a great deal of advantage or value to the Intel customers.  

Intel is still running some small lots of their very latest processors at Samsung which are performance sorted, then acetone rag wiped and re-stenciled with appropriate Intel nomenclature for their various post sorted conditions ......  

Intel is being very careful to not be telling anybody about the true state of their own home grown developments in 10nm and 7nm lithography ......


Intel is struggling right now to offer just the illusion of "being competitive" by widely advertising any small sliver of "win" that they can get.

The things that Intel is willing to do to generate or create the illusion of a sliver of "win" is really somewhat shameful at this stage of things ........  Intel cheats benchmarks and plays games with gross overclocking and tweeked drivers and "harmful to others" BIOS libraries, etc. etc.
Back to top
 
« Last Edit: 08/27/20 at 06:35:50 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #13 - 08/17/20 at 12:41:28
 

https://www.fool.com/investing/2020/06/15/will-intel-new-chips-widen-moat-vs-...

Intel gestures towards the mobile market again with new chips for lightweight laptops and foldable devices.

Smaller, faster, and more power-efficient
Intel claims the Hybrid chips will fully support Windows 10 applications with a 56% smaller package area, 47% smaller board size, and a 91% reduction in standby power consumption than its comparable Y-series processors.

In terms of real-world applications, Intel expects the chips to offer up to 24% better power efficiency during web browsing, up to 1.7 times better graphics performance, and up to 54% faster conversions of video formats. They could also offer double the throughput for AI-enhanced workloads.

Intel is aiming these CPUs at lightweight laptops and foldable devices. Samsung's Galaxy Book S, Lenovo's ThinkPad X1 Fold, and Microsoft's dual-screen Surface Neo are the first three devices to use the new CPUs.



Once again --- comparing Intel against Intel is both pointless and meaningless.   Intel must do better than QUALCOMM in this market slice, not Intel.      Intel must do better than AMD in this market slice, not Intel.  Intel must do better than ARM Holdings X-series in this market slice, not Intel.

Right now Intel has just now realized they have something to lose while they were deep in the throes of actually losing it .....

And I find it fairly funny that Intel is also "busy losing it" to Intel's business partner Mediatek, the same company Intel buys its radio tower modems from.

And from Samsung, let's not forget good Intel business buddy Samsung, the one Intel has to build all of Intel's most modern chipset trial lots since Intel's own 10nm and 7nm is still acting fairly broken right now.


Seriously, this market slice combat is really all about CHROMEBOOKS ...... with perhaps a side dash of Qualcomm Windows on ARM laptops.

Chromebooks own the education market and are making inroads into business uses .......

Intel does not want to lose Chromebooks, and next year's light duty APUs from AMD may well steal that market segment away from Intel.


====================================================


Nice gesture, Intel.   Now let's think about that astronomical price tag you are putting on your first Foveros stacked Big bigger samples that you are sending out right now .......  

Intel, you may want to rethink that a little bit.   Intel, you are defining the pricing of a market segment right now (intending for you to be the one to fill it) that is actually a "made to order" perfect fit for next year's lower cost higher performance Cezanne AMD 5nm APU's.

Intel is having to compete on PERFORMANCE (Tiger Lake)

Intel is having to compete on BATTERY LIFE (Alder Lake)

With Intel, you can have one or the other ........  NOT BOTH AT THE SAME TIME

With AMD 5nm APUs you get both, and you get a lower price tag and a lower unit cost and much better built in graphics.

Roll Eyes

When businesses make the wager on which company to use for processors when they plan a new product, they look for what is coming for the next 2 years.

With Intel, you get a vague promise and a 50-50 chance of actually seeing it anywhere close to on time.

AMD, 100% chance of seeing it on time, 25% chance of seeing it up to three months early.

AMD contracts 2 years in advance for TSMC production slots, coming right in behind Apple in the order of build presidence for new lithography waves.    

AMD tends to hit their build slots on the nose with no issues building their products.

Roll Eyes

Intel wants to go with fully mature "maximum utilization" TSMC process waves, so Intel is coming in 2-3 years past the totally new wave front that Apple rides.

AMD comes in between Apple and Intel time-wise and AMD costs less than either of them by far.
Back to top
 
« Last Edit: 08/19/20 at 12:38:06 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12635
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #14 - 08/19/20 at 16:41:18
 



Look at this and realize that people who used to blindly buy Intel are now blindly buying Apple.   I suggested that Apple use part of this windfall to buy the rest of ARM Holdings  (as a founder they already have a large chunk of it anyway) just to keep NVIDIA from messing it all up.

Functionally, Apple already calls the development shots for ARM now anyway, so we would likely see no big change due to a more complete Apple ownership.

Between contracting with TSMC and asking for the latest ASML technology to be brought forward and then buying the first of the new ASML lines and parking them at TSMC to do development and try outs and then also driving matching new ARM generations of Big-little processor designs to match up with the TSMC lithography generations  ----  Apple already supports mobile technology development more than any other company does, both with their efforts and with hard cash to buy the hardware.    

Apple is also now driving the ARM based PC revolution in exactly the same way as Apple struggles to dump Intel completely.

Apple does this for their own benefit, taking a exclusive use of the ASML machines that they buy until the next wave of new ASML machines that they buy are up and running.  Apple has driven all of the progress in mobile that has taken place in the last 10 years following this roughly 2 year cycle.

Wink
Back to top
 
« Last Edit: 08/20/20 at 13:33:17 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Pages: 1 2 3 ... 21
Send Topic Print


« Home

 
« Home
SuzukiSavage.com
04/16/24 at 14:13:35



General CategoryThe Cafe › AMD & others --- Intel dominance in 2022


SuzukiSavage.com » Powered by YaBB 2.2!
YaBB © 2000-2007. All Rights Reserved.