Donate!
Welcome, Guest. Please Login or Register :: View Members
Pages: 1 ... 14 15 16 17 18 ... 21
Send Topic Print
AMD & others --- Intel dominance in 2022 (Read 9739 times)
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #225 - 08/24/21 at 20:15:01
 

Samsung and the other memory chip makers are beginning to ship the 2x denser memory format that they have all been working on.

Look to see the amount of memory considered to be "standard" or "normal" suddenly double or quadruple again on all your common devices as this memory becomes "what is normally available".

Until then, it will be a cost adder item.


===================================================


The amounts of memory installed in off lease Dell Precision items does indeed keep surging up and up.   I have been eyeballing the used Dell Precision systems rolling back in off lease for relatively cheap and it is rare for them to carry less than 8 gigs of systems memory.   12 gigs is seen fairly commonly in the 6 core systems with 8 gigs in the L3 cache and one stick of 4 gigs in the normal memory slots (or 2 sticks of 2 gigs in the older units).

I keep reminding myself these Dell Precision systems come out of the mainframe side of Intel, not the PC side.

Once again I ogle the watts of power required to run these things, then I put it away again as a "bad idea" for me right now.

Roll Eyes


==================================================


https://liliputing.com/2021/08/imagination-plans-return-to-the-cpu-market-wit...

Imagination, the old graphics company that Apple used to use, has come out with an announcement of a new CPU system that is not MIPS or ARM or Apple.  

The new Imagination system is RISC-V based.

Several others are coming out with their very first RISC-V processors by the end of this year as well.   Most of these are companies that are rolling off MIPS or rolling off of ARM, going over to RISC-V while intending to keep their costs at a minimum (and intending to not get entangled in the ARM/NVIDIA buy-out approval quagmire.

Samsung is also putting out a Exynos chipset to compete with the Apple M-1 which is being used at the tablet/laptop level now.    Samsung is sharing graphics tech with AMD on this effort.

All of these arrangements will take continue to take market share from Intel, taking it from the Atom levels and the Core i3 levels.
Back to top
 
« Last Edit: 08/27/21 at 01:07:15 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #226 - 08/28/21 at 06:09:08
 

New Wrinkle from Wintel


Intel is passing some gas about some really great results from their latest big little processors.

But only if you use the AI based Intel Processor Manager software that comes preinstalled on your most modern Wintel 11 machine.

But only if you use Intel Turbo Boost, which overclocks your processor intentionally until it uses up your thermal envelop 100%, then goes it into BIOS driven slow down mode for 15 minutes while recovering.   Make sure you collect your data point before the big slow down happens .......

But only if you use the most modern Windows 11 that has the latest versions of Intel drivers built into it.

But IF and only if your whole new PC machine is TPM certified by Intel and by Microsoft.

IF not, then don't expect it to boot Win 11 or nor to work any at all ...........




Folks, I sense a big new wave of juicy new Dell Precision trade-in full sized desktop machines heading our way to be converted to Linux Mint Mate machines.

Grin

Back to top
 
« Last Edit: 09/16/21 at 22:09:06 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Eegore
Serious Thumper
*****
Offline

SuzukiSavage.com
Rocks!

Posts: 7966

Re: AMD & others --- post AMD dominance in 2020
Reply #227 - 08/30/21 at 08:30:13
 

 Where did you get this information?

 If I need to make sure all four of your described parameters are met I need to hire another staff member to make sure it's done when I get my new PCs.
Back to top
 
 
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #228 - 08/30/21 at 16:13:29
 
  Yep, chiplets

https://www.anandtech.com/show/16495/intel-rocket-lake-14nm-review-11900k-117...

https://www.anandtech.com/show/16857/overclocking-with-intel-rocket-lake-four...





Eegore, all of this only applies if you are trying to beat AMD processor's current out of the box stock cooling performance by carefully stacking the deck so you can get the very best Intel test results, yep, the ones you want to report on the outside of your boxes.    

I do not think any of it applies to your real world situation for very much.

Only Intel will need to do this right now, and only for a machine or two at one of their little custom test houses.

Then Intel will splash their claims from the exercise all over all their boxes and roll on to counter the next real AMD improvement wave.


Eegore will buy his machines already loaded with Windows 11 and all these goodies should have been done for him (if they apply to his machine, that is).

Gotta have one of these, too.   Important stuff, heavy duty cooling ......







......... do you get the point that unless you run out and buy a brand new state of the art Wintel machine with all these bits and pieces in it
YOU ARE NOT GOING TO GET ALL OF THESE INTEL BENEFITS.

16 chiplets, requires a new motherboard and a new super cooler ----- all of these are needed just so Intel can claim to be competitive with AMD again.  

Wow.   It must really suck to be Intel right now.










Next, do you really need all that gee wiz stuff anyway?

Here is a very cheap 4 core 12 gigs of system memory $49 used machine that can run Linux Mint Mate just fine.   I would just have to drop my little SATA hard drive into it and my Mint would just work like it does now.    The machine is a local pick up in Santa Fe Springs, California which is the main rub from my point of view.   I would pay the cost of the machine to ship it to North Carolina.


https://www.ebay.com/itm/124765229218?hash=item1d0c9650a2%3Ag%3ArCYAAOSw5WZgw...





Back to top
 
« Last Edit: 09/16/21 at 22:10:57 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #229 - 08/31/21 at 13:13:30
 

RUMORS .......


Samsung and TSMC are hitting some serious issues with 3nm gate all around technology while trying to run it at full production rates.   Face it, 3nm gate all around is a brand new technology and they are just learning how to do it at all at volume right now .......    

It is completely normal to have these sorts of issues on a brand new never been done before lithography process.

Apple has been told by TSMC they will have to hold at 4nm FinFET pending resolution of the 3nm gate all around production scaling issues that TSMC has been seeing.

This delay has been confirmed and re-communicated by Apple public released information.   Apple has had the 5nm and 4nm allocations to weather this delay as this was all part of that tremendous 5nm run up that Apple just completed.

Intel's early TSMC lots at 3nm is Shite Out of Luck, in other words.   For now, anyway .....

AMD will be delayed by this as well but AMD had 5nm and 4nm firm production plans already, plans which can buffer them over just like Apple is doing.   AMD is still moving forward with their existing plans to roll down to 5nm and 4nm starting late this year.

We are seeing Intel forced to regroup around their old in house 10nm and their old in house 14nm (sorry, I can't keep track of what they are actually calling their old lithography this week, sorry).

Speaking of being Intel and being sorry, here is more information coming from Google on starting to make their own Chromebook ARM based processors which will begin shipping next year.

I mean, seriously, if Mediatek can do it, Google can do it better.    Ditto for Qualcomm.

https://liliputing.com/2021/09/google-may-be-designing-chromebook-processors-...

Now Nikkei Asia reports that Google doesn’t plan to stop at smartphone chips: the company is allegedly working on chips for Chromebooks as well, and they could arrive as soon as next year.



There aren’t many details about Google’s upcoming laptop processors, aside from the fact that they’re expected to be based on ARM architecture. But moving into the laptop/Chromebook chip space would be a logical next step for the company that develops two of the world’s most widely used operating systems: Android for smartphones and Chrome OS for laptops and (a few) desktops.



News from AMD market share dropping relatively more than Intel's market share is dropping.

All things are relative ----- Intel has slightly better chip supply at the moment, so Apple taking share from x86 processors is actually hitting AMD harder than it is hitting Intel.

AMD is also not actively playing in the M-1, the Core i3 , the Ryzen 3 market share arena, AMD is simply letting the smaller players duke it out while preserving AMD's  relatively scarce chiplet supply for the market segments that count the most to AMD.

What is getting reported is that AMD is losing share relative to both Intel and to NVIDIA ---- and yes, this is true enough given that TSMC is shorting AMD relative to Apple and Apple is simply taking some x86 market share in the most generic non-specific general broad stroke terms.

AMD is also hording some of their most current chiplets,  making sure they have enough Gen 4 chiplets at introduction time to make certain processors "pop" more when they are introduced.

Otherwise, AMD will get accused of "making paper launches" when Ryzen 4 comes out in a few months.

TSMC is not able to do everything like they used to, and this time around AMD is on the short end of the allocations stick.    This will do some lasting harm to AMD despite AMD having the better processor designs right now.

SPECIAL NOTE from three weeks later ........  TSMC quietly released several lots of AMD key chiplets, acting to moderate the shortage hit on AMD at lease temporarily.


===================================================


On the positive end of things, AMD is thinking about using their limited wafer allocations to make Ryzen 4 first wave chiplets and simply skipping over the last of the Ryzen 3 very moderate advancement generations.

Rolling forward to the next generation is the smarter AMD move, I think.    Doing so will put Intel on their back foot again as they have no carefully scripted set of lies built up to counter that move.
Back to top
 
« Last Edit: 09/16/21 at 22:20:12 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #230 - 09/04/21 at 06:39:30
 

https://liliputing.com/2021/09/lilbits-microsoft-breaks-windows-11-with-an-ad...

https://www.tomshardware.com/news/apple-looking-for-risc-v-programmers





Apple is currently looking for experienced programmers with detailed knowledge of the RISC-V Instruction Set Architecture (ISA) and Arm's Neon vector ISA for its Vector and Numerics Group (VaNG) within its Core Operating Systems group. Apple's VaNG is responsible for developing and improving various embedded subsystems running on iOS, macOS, watchOS, and tvOS.

"You will work in a SW and HW cross functional team which is implementing innovative RISC-V solutions and state of the art routines," the description reads [emphasis added]. "This is to support the necessary computation for such things as machine learning, vision algorithms, signal and video processing. Push the state of the art in low level computation and drive them towards energy efficient and high performance implementations by tightly integrating software and hardware."

Currently, Apple has dozens of products across multiple product lines. For example, high-performance devices like MacBook laptops, iPhone smartphones, iPad tablets, and Apple TV set-top-boxes are based on custom system-on-chips (SoCs) that use highly-customized Arm cores. In addition, devices like Apple's Watch, Airpods, and Homepod Mini use system-in-packages (SiPs) powered by technologies from Arm. Apple also uses Arm cores inside its controllers (e.g., T2, W3, U1, etc.).



Western Digital best indicates why companies like Apple are going to be working with RISC-V.

ARM charges too much to use ARM's IP,   really folks find that RISC-V being basically free to use is much much less expensive.  

Toss on top of that the ARM IP buyout quagmire NVIDIA is promoting at the moment and you can see why folks are abandoning ARM like crazy.

With RISC-V you at least know where you are at the moment.



==================================================



Apple is currently more data per cycle efficient and much more power efficient compared to either of the x86 boys.

Apple's M-2 (this fall) is going to come out of the gate as Industry Leader on anything that runs off a battery.   Industry Leader on battery devices BY FAR, it isn't even going to be close.    M-2 has M-1 derived efficiency and all the larger compute power of the new super Apple compute cores.

PCs are a different story --- they are coming across as being totally thermal limited as the core counts and power draws from Intel are simply immense and are getting bigger.   AMD is headed that way too as they plan to put the AI and the graphics card distributed per chiplet all throughout their entire AMD line up, which means more heat evenly distributed across all the entire product line.  

Yes,  AMD gets hot too,  you are putting a gaming graphics card inside your CPU after all .......
AMD's new socket A-5 tops out at 170 watts.   That is a big jump from 105 watts for the A-4 socket.

Undecided

....... Wanna buy the new inside-the-chipset liquid based super cooler setup, anyone ???? ........



WHILE ON THE TOPIC OF STATE OF THE ART PACKAGING TECHNOLOGY .......

TSMC has built 4 brand new packaging plants in Taiwan, plants that (for example) can take your first lots of TSMC contracted chiplets and VERY QUICKLY build out the finished processors quickly while using TSMC patented feature sets like vertical via connection stacking, inside the CPU liquid cooling and full die sized memory layers and AI accelerator layers, etc. etc. etc.    

TSMC has a lot of new neat tech to sell you and they can stack it up for you up to 20 layers tall if needs be.

This sort of activity explains how AMD can suddenly and magically make entire product line roll outs appear seemingly out of nowhere.

TSMC has built this tech mainly for Apple and then for AMD, but since Intel has gone with chiplets from TSMC they can wind up using it too.

Many of the very neatest 5nm AMD tricks announced in the last 6 months actually belong to TSMC.   All of the now delayed 3nm advancements announced by everybody belong to TSMC as well.

Ditto for Intel's much hooted and promised new 3nm tech features, they all actually belong to TSMC.


When Intel starts referring to your new tech as "Intel tech" TSMC needs to really watch out for Intel's sticky fingers.  Intel will flat steal your tech from you in a heart beat after they watch you use your tech to make their products for a lot or two and they finally understand how it works.



Price Increases from TSMC

TSMC has said they need to raise prices due to their own supply chain increases and their need to build lots of brand new facilities next year.

TSMC has raised pricing for Apple (who paid up front for TSMC's original ASML machines and has paid up front for each of the newer lithography waves that have come on since then) a piddly 2-3% surcharge.

AMD has been 100% on board with TSMC since Ryzen started up and AMD gets a 5% surcharge.

Intel and all the rest ------ a 20% surcharge.


TSMC by its surcharge rate is setting the processor dominance order for the next 5 years ........

Intel as a competitive fab is not on up there top of things, you will notice.



Back to top
 
« Last Edit: 09/16/21 at 22:25:47 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #231 - 09/11/21 at 06:11:18
 

Intel --- what is it good for?

Back to top
 
« Last Edit: 09/13/21 at 20:04:08 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
verslagen1
YaBB Moderator
ModSquad
*****
Offline

Where there's a
will, I want to be
in it.

Posts: 28733
L.A. California
Gender: male
Re: AMD & others --- post AMD dominance in 2020
Reply #232 - 09/11/21 at 07:45:07
 
Back to top
 
 
WWW   IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #233 - 09/18/21 at 16:06:16
 

Well, world-wide the status quo for this month did not shift for much.

Intel has rolled its Rocket Lake now and did not take any market share because of it.   The Rocket didn't roar, in other words, it fizzled.

AMD did lose a point or two of market share simply because TSMC could not supply all the wafers of chiplets AMD wanted.

Being mindful of this constraint, AMD has been building up and has been hording their next generation's wafers so as to have enough chiplets to actually do a real AMD introduction wave.    AMD does not care for having any accusations of a "paper launch" similar to the reviewer blasts Intel has been getting lately on the Rocket Fizzle Lake.

AMD is now 100% using TSMC's newly built assembly plants to put their chiplet based processors together as it is cheaper for AMD to do so and TSMC can blend in their patented new assembly tricks right into the AMD finished product.    For example the very fast and quite massive L4 cache on top of the processor set is worth a 15% throughput improvement all by itself, and this is additive to the 30+ percent improvements coming from from lithography and architectural changes that are coming to us with this AMD generation.

Yes, Rumor Sez that AMD/TSMC is going to skunk Intel totally with their new generation Ryzen processors which will begin shipping in earnest very soon ........  using TSMC as their sole source lithography and packaging partner AMD has now been given some additional wafer allocations at TSMC to allow their next generation of products a free reign to expand into new markets and uses.
Back to top
 
« Last Edit: 09/21/21 at 09:47:16 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #234 - 09/19/21 at 19:12:41
 

https://www.tomshardware.com/news/intel-accused-of-infringing-finfet-patent-l...

Speaking of Intel smelling like a skunk ........


Intel Accused of Infringing on Chinese FinFET Patent, Intel Loses 6th Challenge Against Chinese Academy of Sciences

By Paul Alcorn about 14 hours ago

Seventh time's a charm?    Intel having lost 6 times in a row in Chinese Patent Enforcement Court now, so now the Chinese is now talking about blocking all shipments of Intel's Core i processors from coming into China until the $$$ 31 million dollars $$$ in current judgments are paid in full.

Intel has racked up a lot of "not-friends" over the years world wide due to their harsh legal antics ......   some of this antagonism is coming home to roost now that Intel is operating illegally in the turf of other people's patents and the other guy's national court systems.




The FinFET has served as a foundational piece of technology for Intel's processors since 2011, serving as a key ingredient in nearly every processor it sells. However, Intel has been embroiled in a patent infringement lawsuit in China since 2018 with a Chinese government-funded R&D lab that asserts the company has violated its FinFET patent. Intel has responded by challenging the validity of the patent, but it recently lost its sixth challenge with the China Patent Reexamination Board, marking yet another setback for Intel in the case as it looks to avoid a ban on the sale of its 'Core' family of processors in China.

The Institute of Microelectronics of the Chinese Academy of Sciences (IMECAS) filed the lawsuit against Intel in the Beijing High Court in 2018, seeking 200 million yuan (roughly $31 million USD) in damages plus the cost of litigation. More importantly, the lawsuit also seeks a ban on the sale of Intel's 'Core' family of chips that it uses for its client products, at least until the two parties can come to a licensing agreement.

Intel provided the following statement to Tom's Hardware regarding the latest developments:

"This decision is subject to appeal and Intel looks forward to the courts’ balanced consideration of Intel’s invalidity challenges to the asserted patent."

IMECAS has also filed two other patent infringement lawsuits against Intel (more below). Intel can't assign a dollar amount to the potential total damages, saying, "[...]we are unable to make a reasonable estimate of the potential loss or range of losses, if any, arising from these matters. We dispute IMECAS's claims and intend to vigorously defend against them."

Intel's latest setback in the FinFET case follows a long string of the company's attempts to bring the Inter Partes patent review process to friendlier climes at the U.S. Patent and Trademark Office. However, the USPTO has refused to hear the case, leaving the dispute in the hands of the Chinese patent authorities.

Intel began using FinFET designs with the debut of its third-gen 22nm Ivy Bridge processors back in 2011 and continues to use the tech in its latest products. The dispute centers around the 457 patent (CN 102956457), also known as the "FinFET patent." IMECAS also sued Dell China and JingDong Century Information Technology (JD) for infringing the '457 patent, but those companies tendered indemnity to Intel.

Intel has encountered some success by challenging the validity of the patent — three of the claims in the patent have been deemed invalid. However, eleven of the claims are still enforceable. That means, barring any additional successful challenges, the infringement lawsuit against Intel will move forward.

IMECAS has expanded its efforts, too, by suing Intel in two other patent infringement actions related to the sale and manufacturing of its Core i3 processors (CN 102386226 — '226 Patent), this time related to MOSFET technology. These actions also demand injunctions and reimbursement for litigation costs, but differ in that IMECAS reserves the right to claim an unspecified amount of damages.

Intel will obviously exhaust all options as it fights the infringement lawsuits, but IMECAS has the advantage of being on its home court (quite literally). Additionally, according to ICsmart, with over 5,000 patents filed in China and 500 filed in foreign countries, along with 1,505 patent licenses in IC technologies, IMECAS has plenty of experience with patent law and is a seasoned litigant.



Back to top
 
« Last Edit: 09/20/21 at 09:24:02 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #235 - 09/23/21 at 00:55:30
 

https://www.european-processor-initiative.eu/?p=subscribe

https://www.tomshardware.com/news/epi-deliver-epac-risc-v-processor

https://www.nextplatform.com/2021/09/22/europe-step-closer-to-native-risc-v-r...




10 countries in the EU are funding an EU initiative specifically to make these, an EU controlled RISC-V mainframe/workstation combo chipset which will be built in 3-5 separate socketed chipsets (#2 of the set is shown) which will then mount on a multi-socket custom RISC-V motherboard (which would then be making up a readily upgradeable component pull & replace system).

The series #2 RISC-V processors shown are a design containing multiple special-purpose accelerators, all centered around the RSIC-V ISA and its design principles. The series #2 processor shown contains four tiles of Vector Processing Units (VPUs) made up from Avispado RISC-V core designed by SemiDynamics, and vector processing elements design by Barcelona Supercomputing Center and the University of Zagreb. In each tile, there are home nodes and L2 cache for cache systems, which are the contributions of Chalmers and FORTH. For additional acceleration, there are Stencil and Tensor accelerators (STX) engineered by Fraunhofer IIS, ITWM, and ETH Zürich, and the variable precision processor (VRP) deigned by CEA LIST.    For keeping all of these components connected, there is a high-speed Network-on-Chip (NoC) router and SERDES developed by EXTOLL.

RISC-V is certainly moving and developing more quickly now.   ARM is being functionally hamstrung by the NVIDIA takeover attempt and ARM and the takeover are getting stalled intentionally by various reviewing regulators (including the Chinese regulators) who keep finding reasons not to even look at the deal.    

Now obviously the Europeans have decided on their own future pathway, which is RISC-V based.   Until their EU fab is actually built, the European consortium is shipping sample chipsets as we speak that were built by Global Foundries.

AMD is doing fairly well at the moment, but if the world goes all RISC-V how will AMD keep their current technical lead?

And Intel, what does it bring to a RISC-V party ?????    Or, as asked earlier, Intel -- what is it really good for?

Intel does not own the patents nor are they fully licensed for what Intel is currently building and Intel is now getting hammered by the current waves of Chinese litigation accordingly.  

For details, look at the post above this one, but what sane customer would want to hop into this legal meat grinder with Intel knowing Intel is not able to protect them?

I do not think Intel will get much traction as a foundry once they screw over a few orders by not building what the customer wanted when they wanted it ---  but instead using some weird Intel custom methodology resulting in it not working as intended ..... or by simply failing to build it on time.   Intel always gives priority to their own production needs over any fab customers needs which always results in "failing to build it on time".  

These are Intel's favorite failure modes from  the last 3 times they tried to be a fab ......
 


Back to top
 
« Last Edit: 10/06/21 at 07:28:24 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #236 - 09/25/21 at 02:07:46
 

https://azgovernor.gov/governor/news/2021/09/intel-breaks-ground-two-new-semi...

Intel breaks ground in Chandler Arizona to build 2 new fabs.  

Intel's competitors TSMC and Samsung are building complete at this time and are putting in their equipment to crank up sometime in early 2022.

Intel won't begin production until 2024.

At this Intel level of "don't get it done" the new Intel plants may never actually come to pass.   They will be dropped due to some ostensible good reason before Intel even finishes spending the Arizona seed money.

Intel's last plant (Fab 4) was delayed like this over 10 years before it was completed.

Right now the chip shortage will bottom out and the entire chip market will roll towards an oversupply situation at least one full year before the Intel plants are scheduled to open.
Back to top
 
« Last Edit: 09/25/21 at 19:45:54 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #237 - 09/29/21 at 09:50:26
 

https://www.tomshardware.com/news/amd-increase-efficiency-of-chips-thirtyfold...

https://www.phoronix.com/scan.php?page=news_item&px=AMD-30x-Energy-Efficiency...

https://www.pcmag.com/news/amd-aims-to-make-supercomputer-chips-run-30-times-...


Increases like this can be expressed as battery life increases or as in speed increases (providing that appropriate cooling is available).   Or a mixture of both if that is what is needed.

Please remember, AMD now has access to a whole new world of Xylinx accelerators and FPGA technologies,  along with a several new matching sets of TSMC processor and packaging technologies.

AMD having formally announced this 30 TIMES IMPROVEMENT to completely fall due inside 4 years from now it becomes easy to predict a long series of smaller improvement steps that will keep AMD on top of the computer game.

This also indicates that AMD has a future pathway planned out that exceeds Intel's most fervent wet dreams.

AMD also habitually hits both their goal numbers and the production due dates, both with great regularity ---- something that Intel fails at more often than not.

Wink

I can vaguely see a future where AMD is no longer x86 dependent, with AMD announcing new standards groups and new cross license agreements with Samsung and Mediatek and others, intentionally ending their dependencies on IBM and Intel within 4-6 years.

Let's be honest, both ARM and RISC-V are far far far more energy efficient than x86 could ever hope to be.   And these are the least efficient of the new technologies that are coming out now.



===================================================



https://www.tomshardware.com/news/amd-we-stand-ready-to-make-arm-chips

https://www.amd.com/en/amd-opteron-a1100

https://seekingalpha.com/news/3740563-amd-shares-climb-as-company-eyes-making...

Long story short, both AMD and Intel are frantically paddling to create ARM based and RISC-V based server chipsets.

Why?   That huge 30x improvement in calculations per watt has become a priority with both of the old x86 companies.    Both realize x86 cannot compete against ARM and RISC-V based server chipsets.

AMD is working with Samsung and with ARM and is a founding member of the RISC-V based server chipset consortium.


More news that is new
---- Microsoft and Mediatek are focusing on AMD as a key partner for the next generation of progress.  

Why AMD ?????    Because Intel is clearly broken and it cannot innovate enough to stay ahead of AMD or ARM right now.

AMD and ARM get along quite well, while Microsoft recognizes a need for a Consortium to use ARM processors in servers simply to get the lower energy costs and greater efficiency that ARM provides.

AMD as run by Lisa Su is trusted to keep their word in a business relationship.   Lisa Su can bring a lot to the table in a consortium arrangement, and I do mean a lot ----- Lisa brings really strong AMD graphics and now also has all the Xylinx accelerators and AI functions that Xylinx invented and owns the patents for.   All of the real modern 64 bit x86 patents belong to AMD and AMD shares this tech base with TSMC who now can do up a chiplet based processor systems package using AMD tech and their own chip packaging refinements which are now quite considerable.

In contrast, note that TSMC has started shunning a closer relationship with Intel due to Intel's failing to keep confidences TSMC shared with them (indeed Intel has calling out TSMC's tech as if it were their Intel tech, something that no oriental mentality would care for).

Intel thinks because Joe Biden needs Intel, that makes Intel powerful worldwide.   Joe Biden gets laughed at a lot worldwide, and Intel gets their own sets of chuckles as well for being so close to Biden.
Back to top
 
« Last Edit: 10/06/21 at 07:30:05 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #238 - 10/04/21 at 22:07:55
 

https://liliputing.com/2021/10/windows-11-is-now-available-on-some-devices.html

Time to line up to get your pocket picked again, sez MickeySoft.    This time Windows looks like Apple ....... but it is still slow and buggy and bloated like Windows always is, but under a pretty Apple looking shell.  

To get it all to work (and to get it to work right) you will have to buy a new very expensive computer.

Roll Eyes

When Microsoft first launched Windows 10 in 2015 the company said it would be the “last version of Windows,” since the goal was to continually push out incremental updates (more bloat) rather than revolutionary new version of the operating system.

Today Microsoft has released Windows 11 for "security reasons". There’s no word on whether this will be the last version of Windows, but the update does bring significant changes to Start Menu, Taskbar, Microsoft Store, and a number of other areas. So I guess it’s not surprising that Microsoft decided it was time for a new name… (and a new payout from your wallet to MickeySoft's coffers) although there’s some debate over whether we really needed some of the new things Microsoft is bringing to Windows.





Among other things, Windows 11 brings:

There’s a new Start Menu with access to apps, search, files, and other data. It’s centered by default, but can be moved to the side of the screen.

Windows Taskbar now features icons in the center rather than the left side. When using a tablet without a keyboard, the UI will also adjust with larger touch targets and more space between icons.

Widgets are back, but this time they’re not called Gadgets and they’re not on the desktop, but rather in a card-style feed that you can access by swiping from the edge of the screen.

Snap Layouts give you more ways to organize application windows on your screen. And Snap Groups let you maximize and minimize a whole set of apps together.

Microsoft Teams Chat is integrated in the operating system, whether you want it or not.

The new Microsoft Store has a streamlined design and now supports Win32 apps as well as Universal Windows Platform apps and Progressive Web Apps. There’s even support for third-party web browsers in the store now, and for third-party app stores like the Epic Games Store and Amazon Appstore.

Microsoft is bringing support for Android apps to Windows 11, but it won’t be available on day one. Eventually you’ll be able to download Android apps and games from the Amazon Appstore or sideload them, and run them alongside native Windows apps.

Auto HDR bring high dynamic range even to games that don’t natively support it when you’re using an HDR compatible display.

DirectStorage brings faster load times to games on systems with NVme storage and DirectX 12 graphics cards.




To fully understand Win 11 you can let our old buddy ArsTechnica help you do a really deep dive into Win 11.

https://arstechnica.com/gadgets/2021/08/why-windows-11-has-such-strict-hardwa...

https://arstechnica.com/gadgets/2021/10/windows-11-the-ars-technica-review/
Back to top
 
« Last Edit: 10/05/21 at 05:21:27 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12629
Fayetteville, NC
Gender: male
Re: AMD & others --- post AMD dominance in 202
Reply #239 - 10/06/21 at 07:22:22
 

https://liliputing.com/2021/10/intel-alder-lake-p-details-leaked-mobile-proce...

https://wccftech.com/intel-12th-gen-alder-lake-mobility-cpu-roadmap-confirms-...



Alder Lake is a huge chipset, likely still being run at 14nm ---- and please note the initial releases of Alder Lake did not outperform the old ATOM versions of the mobile chipset that Intel already had out at the time.   This will likely be somewhat better, but not commandingly so.

Move along, nothing to see here .........


Shocked


WOW !!!!      Win 11 sucks so bad it overcomes any benefits from the new Intel processor, throwing Wintel as a team back 50 yards or so for a clear loss.


I stand corrected ----  a 15-25% decline in performance and a large INCREASE in security hits is really not "nothing to see here".    

Windows 11 is racking up reviewer condemnations by the dozens right now and some of the performance hits they have found are significant, especially in gaming.

https://www.google.com/search?q=windows+11+loses+15%25+performance&oq=windows...

Just pick a few at random and read up a bit ......

Wintel is way way WAY back on their back foot now, promising all sorts of "fixes" and "patches" out the wazoo when faced by the reviewers ire.    AMD shares this pain on their notably better running processors, BTW, just slightly less severely as AMD had no direct hand in causing this Wintel disaster.

......  did they simply not beta test this Win 11 stuff before releasing it ?????




And for this large pile of brown smelly bullshite the Wintel boys coerced you into buying a brand new expensive PC ?????


Back to top
 
« Last Edit: 10/11/21 at 04:05:42 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Pages: 1 ... 14 15 16 17 18 ... 21
Send Topic Print


« Home

 
« Home
SuzukiSavage.com
03/29/24 at 07:25:07



General CategoryThe Cafe › AMD & others --- Intel dominance in 2022


SuzukiSavage.com » Powered by YaBB 2.2!
YaBB © 2000-2007. All Rights Reserved.