Donate!
Welcome, Guest. Please Login or Register :: View Members
Pages: 1 ... 18 19 20 21 22 ... 31
Send Topic Print
2020 -- new Intel failures & successes (Read 12299 times)
Eegore
Serious Thumper
*****
Offline

SuzukiSavage.com
Rocks!

Posts: 7990

Re: 2019 -- new Intel failures & successes
Reply #285 - 07/08/19 at 14:49:30
 

"Hey, you can still buy twice the processing throughput for half the cost so why would you ever buy Intel again?"

 Its pre-installed on products one frequently purchases.
Back to top
 
 
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #286 - 07/08/19 at 18:46:35
 

The very very top end of the new AMD gaming motherboards swinging the very latest & greatest X570 Controller Chipsets with fully implemented AMD PCIe 4.0 specs have a super powerful PCIe 4.0 motherboard control chipset group that is using up most of the advantage in power draw that the AMD CPU processors naturally provide, causing total power draw numbers for the whole machine to be relatively higher than they would be otherwise and are causing the AMD lower power advantage to be all muted and muddled up at the unit vs unit level.

This is especially true if the X570 chipset motherboard gets used to test the lesser half of the AMD line up where the X570 chipset is not needed and the X570 chipset motherboard was something that was never intended to be used for those lesser, slower, lower power draw AMD processors.

As the PC press struggles to get all their testing done, this one consistent little boo boo is showing up all over the place.   Some Intel loving testers only have the one X570 AMD motherboard rig right now so they are just using what they have got to work with ...... understandable, but some unnecessary egg is being applied to lots of faces all over Computerworld right now as the AMD vs Intel watt numbers reported by these careless folks are being inflated / deflated accordingly.

FACT:    Intel requires 165 watts to run their best CPU chipsets, AMD requires only 105 watts to run their best CPU chipsets.

AMD's very best of the best X570 motherboard control chipsets take approximately 35-40 watts MORE POWER than the lesser more normal AMD motherboard control chipsets that are intended to be used on the lower power set ups.

It is sad that many of the people testing CPUs either do not care about small details like this or are so unfamiliar with AMD that they fall into stupid mental traps like this one.   Or more to the point, the test people are so completely used to Intel sucking up such huge amounts of power that they don't even notice their relatively minor AMD side mistake.  

..... duh,  or else mebbe they have been told by somebody to go make this particular mistake on purpose ???  Mebbe .......      Tongue
Back to top
 
« Last Edit: 07/18/19 at 05:18:12 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #287 - 07/08/19 at 19:17:21
 
Eegore wrote on 07/08/19 at 14:49:30:
"Hey, you can still buy twice the processing throughput for half the cost so why would you ever buy Intel again?"

 Its pre-installed on products one frequently purchases.





SLIDE YOUR MONITOR VIEW OVER TO THE RIGHT TO SEE MORE CURRENT MONTHS OF DATA

Please note:   This sales graph ranges back to 3 years ago on the previous generations of AMD and Intel processors.  We expect the relative volumes of new latest and greatest stuff to swing more strongly towards AMD going forward.

You spec what you purchase -- your new cost and the processing ability of what you are providing will be affected by what your specify when you go buy computers.

Intel will have to keep on lowering their part prices to keep selling their stuff at the volumes they are used to moving --- so perhaps you can afford to keep using Intel out into the future ....... mebbe.


Supplying CPU and GPU processors to the entire industry is now a "conglomerated effort" from several vendors now, and we can say that right now AMD is attempting to supply enough customer desirable chipsets to help Intel recover their "processor shortage" due to Intel's "not keeping up with industry lithography standards".

Wink



Back to top
 
« Last Edit: 07/10/19 at 07:13:36 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #288 - 07/09/19 at 13:32:14
 


https://www.youtube.com/watch?v=z3aEv3EzMyQ        


.......  this one is worth watching as it is true enough and it is also FUNNY to watch.


"You did this graph wrong, you need to go fix it right now ----  Intel blue is supposed to be up here and the red stuff is supposed to be down there on the lower end of things."

Roll Eyes  

Watch the live action as an ages old Intel fanboy, Linus, reluctantly accepts the AMD total victory against the entire Intel consumer line up .....   aaaaaaugh !!!


It's funny ..... and true enough to the real world I am afraid.


"Intel has to cut their prices sharply to remain sales equivalent going forward."
Back to top
 
« Last Edit: 07/10/19 at 07:42:16 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #289 - 07/10/19 at 14:08:46
 

Intel begins squealing and thrashing about madly due to all the AMD abuse they are taking from all sides.   Intel is simply way beyond it's pain threshold from all the picador barbs it has been getting stuck with from everybody on all sides and Intel is just behaving exactly like a desperate pain maddened bull in the bull ring at the moment.

Intel cannot move down to a lower lithography, because as has been stated many times Intel's 10nm isn't anything real at this point in time, being composed of FAILED 10nm lines and some limping 7nm process lines that are only good for putting out large scrap rates and making very little in the way of any progress.

So, Intel now says it is now going to do what it said it couldn't afford to do any further ----- more 14nm cores, 10 big fat Xeon cores to be more exact.   10 Xeon cores with older hyper threading enabled from the get go.   Wonderful, huh?   Yep, it is a mainframe Xeon chipset from 5 years ago -- ain't Intel grand?

Yep, yet another old Intel Xeon mainframe design is coming out of mothballs to join in with Intel's Consumer HPE line ---- with 10 each 14nm Xeon cores swinging the older style Intel Hyper threading.    

What is sad is this old resurrected stuff isn't going to be all that really blazing fast because it never was (although Intel has claimed 5.2 ghz for it before even building any samples) and the required security mitigations for the 5 different sorts of predictive security issues these old Xeon chipsets will be riddled with throughput processing delays and the mitigations may indeed slow the older Xeon mainframe stuff down to a functional net loss in performance instead of offering any real improvement.

But that is assuming the new / old 10 core Intel processor ever actually reach any real production ...... with Intel any of that "far far away in the future" stuff is always suspect.   Many things promised by Intel simply get overcome by events and the next really neat new promised by Intel thing overlaps them and they never seem to arrive.

Intel is faced with 12 core real 7nm AMD chip sets that are shipping right now, and with 16 core real 7nm AMD chip sets that are coming by the end of September of this year.

Let's be generous, since this promised Intel solution doesn't really match up as any form of real competition with AMD's current stuff  ......  let's just say that all that Intel has really just done is to put in an urgent process improvement request for Lisa Su's very best chiplets to get up rated to 5.2 ghz before going into full production on building the next generation of 12 and 16 banger AMD processors.

Lisa Su responds "CAN DO, old buddy" to this Intel urgent process improvement request, and Lisa makes a phone call to the binning department to start saving up the really really good un's in bulk for the Intel requested 12 and 16 core AMD specials.





Roll Eyes           Sush now,  you don't know this --- it's a deep deep secret that AMD just got in a 5nm trial sample run of special deep layer burn chiplets in from Samsung just this past week.

Back to top
 
« Last Edit: 07/24/19 at 13:35:29 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
)
Reply #290 - 07/10/19 at 22:28:15
 

https://www.tomshardware.com/news/intel-packaging-co-emib-odi-foveros-mdio,39...

As Intel froths and fumes and pukes up more ridiculous product "announcements" due to the pure agonizing pain they are feeling at the moment, Tom's Hardware correctly spots the most ridiculous Intel "product improvement announcement" of all  .... this is the Ruling King of all the really stupid things Intel is puking up in their dire frothing distress.

FOVOROS, the towering inferno



As Samsung and TSMC 5nm gets ready to hit the stage with real 5nm progress using the new ASML direct burn EUV equipment burning up to 14 layers of lithography right on down into the same thickness of silicon, yes 14 layers deep into the exact same stuff that we currently grow and cut and use in volume for chip production wafers right now.  

Intel however is retro busy slowly working on a retro way to PHYSICALLY STACK their entire thick clunky 14nm silicon wafer slices on top of each other in solid thick layers of two to three layers thick using full sized full thick silicon wafer slices and laser drilled solder holes as the actual constructed layer system.    

Reminder, AMD is going to be burning 14 layers deep using 5nm lithographyburning those 14 layers directly into the same one (1) thickness of silicon, so no --- this retro Intel FOVOROS stuff isn't even remotely close to state of the art.

Further Intel Discussion    source is Intel

When they are ready, these technologies will provide Intel with powerful capabilities for the heterogeneous and data-centric era. On the client side, the benefits of advanced packaging include smaller package size and lower power consumption (for Lakefield, Intel claims a 10x SoC standby power improvement at 2.6mW). In the data center, advanced packaging will help to build very large and powerful platforms on a single package, with performance, latency, and power characteristics close to what a monolithic die would yield. The yield advantage of small chiplets and the establishment of chipset ecosystem are major drivers, too.

As an Integrated Device Manufacturer (IDM), Intel says it can extensively co-develop its IP and packaging in a way that no other company could possibly do, from silicon to architecture and platform. As Babak Sabi, CVP of Intel’s Assembly and Test Technology Development, put it: “Our vision is to develop leadership technology to connect chips and chiplets in a package to match the functionality of a monolithic system-on-chip. A heterogeneous approach gives our chip architects unprecedented flexibility to mix and match IP blocks and process technologies with various memory and I/O elements in new device form factors. Intel’s vertically integrated structure provides an advantage in the era of heterogeneous integration, giving us an unmatched ability to co-optimize architecture, process, and packaging to deliver leadership products.”

MDIO is slated for 2020 availability. Rumor has it that Intel is going to use Foveros, and hence possibly Co-EMIB, with Granite Rapids in early 2022. Intel has not specified a timeframe for ODI.


Undecided       Huh      Roll Eyes

OK boys and girls, by the time this stacked 14nm layers Intel stuff could become real in 2022 --- AMD and everybody else will be at 5nm using 14 layer direct burn lithography designs --- they will also be busy laying in their gate all around 3nm plans for the same time period when Intel intends to be pushing out their 14nm Fovoros Towering Inferno technology.

OK, this is stupid for Intel to even bring this trick up as Intel already has current overheating problems that they cannot fix due to Intel's larger lithography size and the greater heat production coming from their 14nm product line ...... and building these massively stacked up 14nm Towering Infernos in 2022 isn't going to help Intel now, nor would it help them in 2022 should they ever actually get around to really actually doing it.

And if Intel has such large cooling issues and such poor yield issues with the current 14nm chipsets, what sort of success do you think Intel will be having STACKING 2-3 of the current fault ridden 14nm chipsets on top of each other via the Towering Inferno drilled solder connections?

Next, Intel is still ignoring heat throttling in their chipset ratings.  Intel understates their thermal issues and overstates their clock speeds routinely, ignoring the fact that their chip management system throttles their chipsets by 30% or more after just 5 minutes of run time.

Intel rates their chipsets at a totally cold current draw level that does not ever exist but for a single minute during a cold boot up.   This is an extremely misleading advertising and a very unrealistic sales trick.  

Using advanced liquid cooling will become another Intel "requirement" very soon.

AMD in contrast rates both their thermals and their clock speeds at fully hot normal running conditions using the stock air fan based cooling system that is shipped with the processor.  AMD is intending to have all their specs accurately represent exactly what the customer will see in real world uses.   Since AMD rates their stuff "as heated up and throttled down on a stock fan and heat sink system" there are no ugly surprises to be found in real use AMD testing.
Back to top
 
« Last Edit: 07/24/19 at 13:38:37 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #291 - 07/13/19 at 10:37:55
 

Cool

Supply.  

Chip supply.

7nm chiplets and 7nm graphics cores.  

5nm chiplets and 5nm graphics cores.

AMD has some potential issues meeting their long term demand for chiplets and graphics cores.

AMD is planning on using both TSMC and Samsung production to meet all these supply demands.    Having enough supply is where Intel fell down and AMD has some well laid out plans not to repeat that issue on their part.

AMD can use Global Foundry to augment for their center chiplet business at 12nm if TSMC can't meet that 12nm center chiplet demand completely (assuming Global actually remains in business long enough to do this as their current owners are busy liquidating their old lines as soon as they can find a buyer).

AMD can use Samsung if TSMC can't meet the total demand for chiplets and for graphics cores.

AMD will task TSMC for whatever 7nm chipsets TSMC can fulfill, as they have volume contracts with TSMC to keep and they will do so.   Just as Apple did, there is a back door clause to these contracts that releases AMD to buy from someone else if TSMC can't make what is needed, but if TSMC is production volume capable, they own that business.

7nm and 6nm are pretty much a known thing now --- it is 5nm and 3nm that is going to get interesting pretty quickly once Apple finishes up their first big 2020 production year at 5nm and TSMC becomes free to fill their mounting general orders at 5nm.

People wondered how AMD was able to abruptly double their on die gaming chipset memory so suddenly for the July Ryzen 3000 roll out.  In fact it was AMD's first released use of the multi-layer direct burn 7nm production machines making two full layers of memory on top of the existing AMD lithography system (a total of 3 layers of direct burned stuff).   3 layers is the best the new modified 7nm scanner lines can do, but ASML's 5nm scanner equipment was built from the get go to be able to burn 14 layers deep.

Apple now has year 2020 5nm designs out now that use 5nm multi-layer (14 layers are available but fewer are actually needed right now for current Apple designs) and you can count on Apple and TSMC working out all those layer bugs during their locked down first year of production.

Just think of how many layers of memory can get dropped on top of a 5nm AMD chipset with 14 direct burn lithography layers available to be used at 5nm .......
Back to top
 
« Last Edit: 07/24/19 at 13:40:59 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #292 - 07/17/19 at 19:22:23
 

AMD BELIEVES in continuous improvement.

AMD improves chiplet yields lot on lot by making very minor chiplet changes and "tune ups", fixing each problem that they see so it goes away and stays away.

AMD improves their total data throughput by using the new 3 level 7nm burn tech at TSMC, doubling up on the on chip memory used by their chiplets and broadening the data pipelines inside the chiplets to keep up with the new mainframe uses these same chiplets have.

AMD designs new better performing chiplets that use each of the smaller TSMC lithography stages as it becomes available.   There is a 6nm lithograpy stage out there right now and as soon as it is cheaper to use than what they are using now, AMD will roll on down to it wholesale.

AMD deals with both of the current state of the art chiplet makers, TSMC and Samsung, as they roll their tech down towards 5nm (and 14 layer burn technology).   Right now Samsung has a small 5nm tech lead over TSMC, but that will not last long I am afraid.

TSMC likes to play leapfrog as a foundry, so we can see TSMC spending a lot of effort making a 3nm leapfrog inside the next 2 years.   Apple wants this for what comes after next year, and Apple has a lock on TSMC first 5nm lines since they paid for them.  

So, 5nm will roll in for everybody in 2020 as TSMC's  next lithography level effective right after next year's 5nm Apple runs on the equipment finish out.   Then 3nm in 2-3 years after that .....


===================================================


So what will Intel be doing in 2-3 years?

Intel has no self-created chip shortage propping up Intel's price gouging behaviors any longer.   Intel is now selling less than half of the new replacement CPUs that are moving right now as AMD has indeed come out with a complete layered product lineup of Ryzen 3 chipsets at lower than Intel prices that allow the same money to simply buy a much better AMD chipset than the same dollar Intel unit.   You can get better gaming performance too, just buy the AMD gaming chipsets with the "X" on the end.

Having used "chip shortage" for the last full year as their excuse for price gouging, Intel now no longer has that excuse.   Now it is just plain out in the open price gouging, with a VERY questionable "Intel advantage" to drive it.

There are plenty of 14nm Intel chipsets out there now, if you want one.   AMD has relieved Intel's production pinch point for them by taking more than half of the new CPU sales away from Intel, so the warehouse stocking levels of Intel processors should be steadily improving.

At the start of the year AMD was outselling Intel at a 2 to one ratio (up to 5 to one depending on the chipset pair in question) in Germany where Mindshare.DE keeps close track of what is selling and at what price.   This ratio went up across the board due to Ryzen 3000 coming out in July.

Intel has cut their prices twice in Germany recently and AMD simply instantly dropped their price point the same relative amount, making up an unchanging competitive loss picture for Intel.

So. as the AMD chipsets get slowly better and better, they get cheaper as well.

Intel does not get any better, because they are lithography bound to 8 year old lithography technologies.   Intel is getting cheaper though, but this is solely due to AMD's competitive pressures grinding away at them.

Intel will react, will attempt to change, and will feebly attempt to compete.   Most recent "competitive moves" from Intel were 1-2 years out into the future "product announcements" with little real current value as we all know they will never happen.  

AMD steadily rolls real product releases out on a 6 months cycle alternating between different areas of the company.   Intel hasn't made any real changes in a long time, but when they did make changes they ran a two year cycle the last time they did it.

Back to top
 
« Last Edit: 07/24/19 at 21:29:06 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #293 - 07/18/19 at 08:20:33
 

https://www.techradar.com/news/intel-admits-it-wont-catch-up-with-amds-7nm-ch...



I predict stuff based on a lot of information from a lot of places --- and I am generally pretty conservative, actually.

Here are some confirmations of my predictions of late about Intel 10nm and it really being the first failed 10nm combined with the first failed 7nm process lines (Intel would never admit to these things, of course).

Swan admits that the 2.7x scaling for 10nm was both too ambitious and too complicated. He also explains how Intel made an error when it “prioritized performance at a time when predictability was really important”.

However, as Swan notes, “The short story is we learned from it, we'll get our 10nm node out this year. Our 7nm node will be out in two years and it will be a 2.0X scaling so back to the historical Moore's Law curve.”


So it looks like we’ll finally see some sorted/partially disabled 10nm chips from Intel this year, while Intel 7nm will have to wait until 2021. That’s a long time considering both AMD and Qualcomm have 7nm chips out in full volume right now.

But will Intel be happy with ceding all the performance advantages and "extra memory on top" that 7nm-5nm brings to its two biggest competitors for that long?
Does Intel really have any choice but to jest suffer through this?

Well, words count I guess.   Bob Swan has admitted publicly now that Intel 10nm will never meet its original goals.   He also admits that Intel 7nm will not fully meet the original Intel 10nm goals either.  

Does it smell like Intel's newest planned stuff is actually a continuation of the old 7nm process path but running looser specs and lower process yield goals that came originally from the "cut back" 10nm stuff?

Does this mean that in 3 years from now (when 14 layer 5nm is shipping in volume from TSMC to AMD and then to you) that Intel will just then be "getting around" to shipping their cut back version of 7nm?

Yep.   It sure does.   Now add a partial year for the inevitable Intel delay over something or other and you got another ~3 years worth~ of slow Intel dog years staring you in the face while you listen to the spew of Intel "product announcements" and "improvement announcements" and solvent rag based "new product lines".

Meanwhile, AMD will improve something for real every six months during that 3 year period that you will spend waiting for Intel to arrive, some will be small AMD tuning improvements and at least one very large improvement will be an across the line lithography shift that will be a really really really big one .....


Cool     AMD 14 layer 5nm is coming inside the next 2 years


I also now predict that 14 layer 5nm will birth some ARM based laptop/Chromebook chipsets earlier than that, with these coming from the phone boys as they are planning on going to 14 layer 5nm for phone uses in 2020, which is a year earlier than AMD currently is thought to be planning on doing it for AMD'd chiplets (just to better thump on Intel, that is).

Please remember, Lisa Su is not slow on meeting all of her competition's moves, and Lisa Su will tend to try to meet/beat the phone boys ARM based chipset efforts no matter that Intel is still stuck in its slowly hardening tar pit and Intel isn't moving anywhere at all.  

Over time, Intel will wind up meaning less and less to the general worldwide competitive actions and general progress levels.

Also realize that NVIDIA is not nearly as pokey as Intel, so AMD will need to shift to 5nm early enough to meet that threat as well.

This does fall in line with rumors that Samsung is sending occasional 5nm and 3nm trial runs of chiplets to AMD for buildup and testing as part of the technical consortium efforts, leading to a new round of Samsung production tune ups and perhaps eventually leading to some possible Samsung early production runs for a new premium line of AMD CPUs.  

Remember, Samsung wishes to become a major TSMC contract foundry competitor again, this has been stated by the CEO of Samsung several times over the last year .......   also remember that Samsung does not hold that there is a whole lot of difference between 5nm and 3nm as it all runs on the same equipment.  

If Samsung or TSMC can work out a FinFet design at 3nm then that is all to the good as that simply means 3nm just gets here in full volume quicker .......  otherwise, Samsung's more complex gate all around nano-tube design has already been sampled both at 5nm and at 3nm sizes and we already know it works.

For the first part of next year TSMC will focus all of their attention on Apple and its needs, leaving a free time segment for some Samsung/AMD research activity to create some positive changes inside that Apple delay period.   And please remember, the Samsung/AMD-Global/IBM consortium really does need a working partner to build up completed finished chipsets off their trial run stuff so they can see if their improvements really work out in the real world and have any real competitive merit or not.

As Global Foundry slowly loses all of their production relevance, Samsung wants to gain that relevance and take some real market share away from TSMC to boot.
Back to top
 
« Last Edit: 08/14/19 at 22:11:13 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #294 - 07/24/19 at 21:17:41
 

https://wccftech.com/exclusive-amds-plans-for-7nm-ryzen-apus/

Intel has announced all their latest "competitive announcements" now.   They have a physically HUGE 10 core HPE mainframe chipset coming out to "compete" against Septembers's 16 core AMD consumer Ryzen chipsets and to try to blunt the 64 core - 128 core 7nm THREADRIPPER tidal wave that will break up on the beach in September/December.   Energy use and speed are where Intel will fall down yet again, (as well as in their poor COST/VALUE proposition as these will be very very expensive Intel puppies indeed).

Intel has made a big PR thing about shipping some sorted/truncated 10nm for laptops -- making big PR claims that their CEO has had to back back down at technical presentation just recently.   It is well he did so, as Intel's big BS PR wave had prompted AMD to go ahead and roll forward with their 7nm APU chipsets (Integrated full gaming graphics and 7nm chiplet style CPU technology) which AMD has been hanging back on due to there being no Intel competition to cause it to come forward.

Tiger Lake by Intel has been "announced" now,  so AMD's response is warranted now.   This gives us Intel's "cutting edge best 10nm technology" running up against AMD's general run of the mill 7nm chiplet derived tech with AMD still holding back on all of the newest AMD 5nm stuff until it becomes needed.  

AMD Reality vs Intel BS brown PR vapor --- it will be fun to watch.

So this is the last chapter of the information I recently received from one of my sources in AMD. I asked about AMD’s plans for a Raven Ridge refresh on the 7nm node and can confirm that it is indeed happening and the company plans to roll them out “roughly 4 months after the launch of Navi”. Since Navi is launching on 7/7 that would put the expected announcement/launch date roughly in late-November. That leaves the company with two options, either utilize the holiday season and launch it in late November or do a big reveal at CES 2020.

AMD 7nm Ryzen APUs won’t be ready till 4 months after Navi; Holiday Season 2019 or CES 2020 launch?
In any case, it looks like we should not expect 7nm Ryzen APUs to land before November at the earliest. If AMD decides to not use the holiday season in late November, they will have to go for a CES 2020 launch. Since their huge Threadripper 64 Core is slated for a late Q4 2019 launch as well, [speculation] I would not be surprised to see both of these things debut at the same time [/speculation]. So if you are someone who was waiting for the APUs and was expecting them to arrive soon after thee Ryzen 3000 CPUs, now you have the information to make an informed decision.

The lineup, which I am going to call “Raven Ridge 7nm Refresh” for lack of a better term, is going to be succeeding the existing RR lineup that is fabricated on the 14nm process. My source did mention the word “raven ridge refresh on the 7nm node” which makes me believe that this is going to be a 7nm shrink of the 14nm parts allowing for much higher clocks and an updated architecture while retaining the basic configurations. If 7nm Ryzen 3000 CPUs are anything to go by, this is going to result in some impressive performance gains while increasing economies of scale for the company and bringing down cost without hurting margins.

Raven Ridge APUs on the 7nm node are going to be the perfect choice for consumers that are looking for an HTPC build or a casual All-In-One build and want to conserve on the footprint. Interestingly, Raven Ridge 7nm is going to go up against Tiger Lake parts from Intel which are expected to have a maximum compute of 2 TFLOPs. Things are certainly going to be very interesting as we enter 2020 and competition in our corner of the tech world is heating up like anything as both Intel, AMD and NVIDIA come out guns blazing. And the best part? In all of this blood shed, it is the consumer that will win.


Once again, Lisa Su is sandbagging us strongly, taking all the time Intel's slowness will provide to allow as much of the 12-14nm APU channel to drain naturally before making it all outdated and undesirable with a much better 7nm product.    ....... remember, the current AMD 12-14nm APUs are still kicking Intel's Core i5 butt at the moment, so it isn't slouch stuff by any means .......

Roll Eyes            2 teraflop performance in a laptop?           Shocked

My my my .......  I wonder what ThreadRipper will bring to us for Christmas ????
Back to top
 
« Last Edit: 07/25/19 at 08:59:22 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #295 - 07/26/19 at 19:10:16
 

A new wave of Interesting Stuff is coming out of Apple at the moment ....... Apple just spent a billion dollars buying all of Intel's modem IP (includes the completed FCC certifications on existing modem designs and the actual modem designs and masks and any dedicated production equipment used to build them).

Apple is intending to build their own modems going forward, and the billion they spent sweeping up the leavings at Intel is dirt cheap compared to the yearly cost of doing business with Qualcomm.

HOWEVER, Apple will likely discover that phone modems are a fussy, tricky thing to do and Intel had failed repeatedly to do a 5G modem for a reason or two, or five or 6 good reasons.

5G IS REALLY REALLY HARD TO DO if you have to avoid Qualcomm patents.   Now, in response to the 5G mess a consortium of companies has proposed new simpler "6G" modem standard that is now being proposed to completely leap frog the troublesome 5G issues by going four times faster using a simpler, much less complex methodology.   A new system, not patented by Qualcomm or by Huawei.

Apple is one of the supporters of this new system, and has gone and hired laid off engineers from Qualcomm and from Intel to support their new Intel modem purchase and the new "6G" modem system that the consortium is proposing.

Trump supports the building of a new USA based "6G" standard as long as all the hardware and software used by the standard is all built by and controlled from inside the USA.

Note that Apple also hired several Qualcomm and ARM chipset architects to go along with this purchase of Intel's old modem capabilities.
Back to top
 
« Last Edit: 08/01/19 at 18:41:57 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #296 - 07/27/19 at 04:25:30
 

https://www.anandtech.com/show/14679/intel-begins-commercial-shipments-of-10-...

News Flash

Hell freezes over ..... Intel begins shipping 10nm Ice Lake chipsets.



Once again, these are not the ones that you want,  these are the limping original 10nm design that have had problems with performance and yield.   There is a better grade of 10nm coming up that is suspected of really being a 7nm "not quite ready still sorta limping version" currently being called 10nm Tiger Lake and these are still about a year away at this point in time.

The only thing good about these 5 times belated Cannon / Ice Lake APUs is that they have prompted AMD to roll forward the ship out dates of the Raven Ridge 7nm APU refresh that they were sitting on while awaiting Intel's competitive arrival.

Competition is good as it forces Intel to get better, but having to wait on Intel to do something is causing AMD to slow down on its normal development pace down to about half speed --- which leaves AMD sitting around open to getting a surprise upset in the Far East by ARM and RISC-V.  

RISC-V now has a 6 core desktop capable chipset developed and up and running in China, with China beginning to really like their modified RISC-V designs since they cannot be cut off from running them by Trump's executive actions.   A 16 core RISC-V variant is planned this fall from the very same people, and this one will be worth looking at more closely.

The tech heads all seem to like RISC-V because of its fast development cycle and the fact that advancements that vendor A makes become available to the rest of the consortium members just as soon as they are logged into the system.

RISC-V is up to 6 cores (really exist right now)  at 2 ghz and RISC-V as a system is picking up steam as others do their little bits and pieces of the total computer puzzle.

And yes, ARM sees the threat to them, and is reacting by making their ARM licensing more "user friendly" and offering better support to members trying to do something new on ARM.

Still, it is hard to argue with "practically free" when you still want to charge a lot of money for your stuff.
Back to top
 
« Last Edit: 08/07/19 at 05:20:09 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #297 - 07/30/19 at 04:59:19
 

AMD has discovered one of the harsh realities that forced Intel to rate their chipsets only off their fastest core ---- in process variation exists, and a new wrinkle has come up about AMD chiplet sorting while using multiple vendors.   Sort and rank some same-same-same chiplets and then build them up into a CPU, test it again and find that the results changed due to the solder dips, heating, etc of the assembly process having changed a few of the chiplet's performance.  

Simply stated, using multiple vendors adds variation as the different producer's chiplets react slightly differently to AMD's assembly processes.

AMD has to deal with this now since they made a big thing out of predictability, and now they are learning that they cannot completely predict the performance of a finished CPU assembly 100% off of knowing what the chiplets did, not when using stocks of chiplets from various vendors and various lots mixed together.    Hey, things CHANGE during chipset assembly, and things also likely shift some over time and heating & use as well.

First solution (and it may be all that is needed) is for AMD's CPU monitoring software (the stuff that auto-overclocks the better AMD processors) to get refined a little bit to continuously ID the slowest cores and simply schedule them dead last when assigning tasks.   This would also cover any ongoing aging changes that take place (and you know this likely happens, right?)

Second solution is to adjust your advertising to reflect what you now know is the real reality.  Your stuff has natural chiplet to chiplet variation, so tell people that and tell them what the allowable pass limits at the factory are.   Offer to take back and replace any chip found exceeding those limits.   And mean it, and do it damme fast.   And possibly offer a discount or a freebie to make it up to the customer who got mislead by your early advertising.

AMD has lots & lots of cores, and some of these many cores really do go slacking all the time anyway due to real workloads that never really use all the cores   --   so, purposefully pick the known slowest cores in each chipset to be the slack takers.

The 'slow core' item seen now mostly only affects the tester folks who are wringing the chipsets out hard 100% on all the cores,  I doubt many real users would see or be affected much at all by a single slower core.

Issue at hand really is your AMD image, you have been honest and forthright all along and that has proven to be a winning image, so this is no time to stop doing that -- not even for a second ........
Back to top
 
« Last Edit: 08/03/19 at 20:20:30 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #298 - 07/31/19 at 12:50:22
 

https://www.techradar.com/news/amd-ryzen-7-3700x-is-such-a-hit-it-almost-outs...



Startling land grab

All that said, we can throw in as many caveats as we like, but the plain truth (at least from this source) is that AMD’s doing better than ever, and grabbing a truly startling proportion of CPU market share – even with some mild stock out issues providing some headwind.

AMD’s top-selling chip was the Ryzen 7 3700X, and get this: sales of that one single processor weren’t far off equaling the sales of Intel’s entire CPU range (at around the 80% mark of what Intel flogged).

In June, AMD’s overall market share was 68% at Mindfactory, so the increase to 79% represents a big point jump, and the highest proportion of sales achieved by the company this year by a long long way.

To put this in a plainer fashion, for every single processor sold by Intel, AMD sold over four.

Ryzen 3rd-gen offerings have seemingly sold up a storm in the first couple weeks on shelves, and then slowed down, although that slippage is likely due to stock shortages rather than falling demand (the new flagship Ryzen 9 3900X chip is vanishingly thin on the ground, for example, and is therefore being flogged for extortionate prices on eBay in predictable fashion).


So, AMD is outselling Intel in Germany by 4-8 to one in the most popular ranges.   AMD is beginning to run out of these chipsets at German retail outlets fairly often now, lasting for days at a time.    Mindshare.de is tracking the outage days as well as the pricing and the overall sales numbers.   AMD chipsets are selling for more than list price in Germany now, showing that the law of supply and demand does indeed apply here after all.

As Intel finds itself welcoming a brief stress break because of this sputtering AMD stock outage, AMD is actually losing just a small part of the volume of sales that they predicated their retail pricing upon.

See AMD exercising all the triple sourcing options that they have with TSMC and Samsung and Global Foundry to make enough chiplets and chipsets to satisfy the all real active demand for AMD Ryzen 3 chipsets .......

See AMD working through the ramp up growth pains associated with selling by far most of the stuff that is selling currently.

See AMD showing some signs of exercising caution in rolling out any more new super popular stuff, so as to not to outstrip themselves completely and make a mess out of things ........  

Huh     It is possible to be too successful, you know.





Intel PR cannons begin blasting out the brown vapor clouds again over in laptop land .........


The new Intel 10nm laptop line has a top end chipset and a low end chipset.   Intel is releasing information on both units simultaneously, and SOME INTENTIONAL REPORTING CONFUSION between the two levels seems to be a goal here.

Next, Intel will only compare their new 10nm's against certain items, some SELECTED past Intel chipsets and some RATHER OLD AMD laptop chipsets.   Then they make sweeping conclusions and claims like the stated results covers all items out there, which is so so so not true.

Intel still knows how to do misleading testing at third party test houses, write very biased test specifications and Intel still loves their bogus comparisons and their Intel brown vapor PR ......

Finally, only a very very very few items in the Intel 10nm line are actually real enough to be purchased by independent testers at the moment -- many of the "reviews" being posted on line are on pre-production chipsets that you cannot actually buy right now which were provided to tame Intel shills to "report" upon while being already installed and set up (tuned) in an Intel owned test laptop.  

Part of this Intel pre-installed and Intel pre-tuned situation causes the uncertainty levels which overshadows these first "infomercial tests".

However, let's give Intel the benefit of the doubt -- Intel will really make approximately 18-25% improvements in their own real performance against their own old units when their 10nm laptop stuff gets fully and finally reviewed by somebody trustworthy.

This does not answer the AMD competition's previous 2 advancements both of which are an order of magnitude greater than the one improvement Intel 10nm has brought, multiple AMD advancements that have just been scheduled to kick upward yet another notch again very early next year.

Will Intel's real actual large 10nm production lots in volume chronologically beat AMD's shipping out of their first testing samples of their 5nm laptop products?   That foot race is more in line chronologically, with the two events to take place very late this year to first quarter of next year supposedly.
Back to top
 
« Last Edit: 08/09/19 at 06:13:30 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #299 - 08/08/19 at 21:43:40
 

https://wccftech.com/amd-epyc-rome-7nm-server-cpu-official-launch-64-core-128...



Why is this worth reading?    Because all the hard work that is done on AMD Epyc IS the source of the chiplets for the Consumer chipsets that are doing such severe damage to Intel at the moment.

AMD's improvement cycles start right here as all the throughput improvements and all the neat little tricks that will roll out across the AMD line always start right here at the Epyc chiplet level.  

Yep, oggle this set of Epyc improvements very carefully as they will be showing up in the consumer Ryzen chiplets inside 6 months.  

Mind you, this particular Epyc release is a simple "tuning" release, not a major major lithography shrink like 5nm could be next year .......  assuming Intel actually goes and does anything on their side of the fence "as being competitive" so as to prompt Lisa Su to pull the trigger on that next round of AMD goodies, that is.

AMD has officially announced the launch of their 7nm EPYC Rome processors today, offering higher core count, best in class performance, value & efficiency. Being the first high-performance data center, AI, & HPC centric chips based on TSMC’s bleeding-edge 7nm process node, the Rome lineup takes AMD one step ahead of their Xeon rivals that still utilize 14nm technology.

AMD EPYC Rome Officially Launched – 7nm, 64 Cores, 128 Threads, Higher Clocks, Best-In-Class Performance, Efficiency, and Value
AMD’s 2nd Generation EPYC Rome series is the successor to the first Generation EPYC Naples line of processors which launched two years back. Based on the 7nm Zen 2 core technology which has offered a 15% IPC uplift over the original Zen core, the AMD EPYC Rome CPUs are designed to offer higher performance and better efficiency than their predecessors.


As Lisa likes to do it, several customers and partners joined AMD on the stage to discuss their new AMD EPYC processor offerings:

Google announced it has deployed 2nd Gen AMD EPYC processors in its internal infrastructure production datacenter environment and in late 2019 will support new general-purpose machines powered by 2nd Gen AMD EPYC processors on Google Cloud Compute Engine as well;

Twitter announced it will deploy 2nd Gen AMD EPYC processors across its datacenter infrastructure later this year, reducing TCO by 25%;

Microsoft announced the preview of new Azure virtual machines for general purpose applications, as well as limited previews of cloud-based remote desktops and HPC workloads based on 2nd Gen AMD EPYC processors today;

Hewlett Packard announced the continued support of the AMD EPYC processor family with plans to triple their AMD-based portfolio with a broad range of 2nd Gen AMD EPYC processor-based systems, including the HPE ProLiant DL385 and HPE ProLiant DL325 servers;

Cray announced the Air Force Weather Agency will be using a Cray Shasta system with 2ndGen AMD EPYC processors to provide comprehensive terrestrial and space weather information to the U.S. Air Force and Army;

Lenovo announced new solutions that are specifically built to take advantage of the full range of enhanced capabilities found in the 2nd Gen AMD EPYC processors. Available today, the ThinkSystem SR655 and SR635 are ideal solutions for use cases such as video infrastructure, virtualization, software-defined storage and more, with exceptional energy efficiency;

Dell announced the upcoming availability of newly designed servers optimized for 2nd Gen AMD EPYC processors;

VMware and AMD announced a close collaboration to deliver support for new security and other features of the high-performance 2ndGen AMD EPYC processors within VMware vSphere.

Zen 2 doesn’t only offer higher performance but due to a smaller manufacturing process, the resultant die size has allowed AMD to cram twice the number of cores and threads on the EPYC 7002 CPUs while retaining higher out of box clock speeds.

Following are some of the salient "industry firsts" features of the 7nm EPYC Rome processors:

1)  Built on 7nm advanced process technology – the best the industry currently has to offer, allowing for denser compute capabilities with lower power consumption.  

2)  The world’s first 64 core data center CPU, built using Zen 2 high-performance cores and AMD’s innovative Chiplet architecture

3)  The world’s first mainstream PCIe Gen 4.0 data center CPU with a bandwidth of up to 64GB/s, twice of PCIe Gen 3.0

4)  Embedded hardware security protection to help defend your CPU, applications, and data that requires no mitigations in the OS system. 

(means a 25% throughput upper when compared to Intel processors)

AMD has made significant changes to their CPU architecture which help deliver twice the throughput of their first-generation Zen architecture. The major points include an entirely redesigned execution pipeline, major floating-point advances which doubled the floating-point registers to 256-bit and double bandwidth for load/store units. One of the key upgrades for Zen 2 is the doubling of the core density which means we are now looking at 2x the core count for each core complex (CCX).

Improved Execution Pipeline
Doubled Floating Point (256-bit) and Load/Store (Doubled Bandwidth)
Doubled Core Density
Half the Energy Per Operation
Improved Branch Prediction
Better Instruction Pre-Fetching
Re-Optimized Instruction Cache
Larger Op Cache
Increased Dispatch / Retire Bandwidth
Maintaining High Throughput for All Modes



This also serves as a long laundry list of major companies that are NOT BUYING NEAR AS MUCH STUFF FROM INTEL ANY LONGER for their data center uses.

Nobody simply throws away all their old mainframe stuff and simply replaces all their servers at a lick, but for AMD to pick up 20% in a year's time says they are selling in the vast majority of the new expansion stuff (out of that 25% of the grand total yearly potential expansion mainframe ball court --- that is the playing field that AMD just picked up their 20% market share out of --- so you can say AMD is picking up roughly 80% of the new items out of the mainframe/rackspace expansion new installation sales).

Should Intel be worried?       Yep, Intel should be worried.

If AMD can keep showing improvement levels anywhere near this, even the most loyal of Intel "big iron" fans will eventually have to start buying AMD new products because it is simply much better stuff and it is a lot cheaper to buy and a lot cheaper to own year on year.



Back to top
 
« Last Edit: 08/14/19 at 22:18:37 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Pages: 1 ... 18 19 20 21 22 ... 31
Send Topic Print


« Home

 
« Home
SuzukiSavage.com
04/19/24 at 19:51:34



General CategoryThe Cafe › 2020 -- new Intel failures & successes


SuzukiSavage.com » Powered by YaBB 2.2!
YaBB © 2000-2007. All Rights Reserved.