Donate!
Welcome, Guest. Please Login or Register :: View Members
Pages: 1 ... 11 12 13 14 15 ... 31
Send Topic Print
2020 -- new Intel failures & successes (Read 12299 times)
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #180 - 01/13/19 at 14:46:42
 


Lisa Su's dirty little "secret sandbagging" during her CES presentation.   Lisa held up a TSMC 7nm lithography Vega 10 unit APU (complete with enlarged AI block and wider data paths) matched up with a TSMC 7nm lithography 8 core CPU block and then simply didn't say one more word about it.

All she spoke to was a mild one upping of the rather disappointing 11-12nm Intel "10nm" performance as promised by Intel in the "end of 2019 in the far distant future".   Lisa Su was simply doing some classical sandbagging, in other words .......

One potential reason for this sandbagging reticence is large existing stocks of older AMD units that are not moving as quickly as were originally hoped ---- upgrading your own inventory for the 3rd time in two years might tend to hurt your own financials if that is done in a precipitous fashion.

AMD needs to sell off their channel full of old stuff before replacing it whole hog with much more desirable items.
    Once the much better stuff is out all demand for the older style stuff ends abruptly ......

Intel's ongoing production shortfalls will continue to move AMD's older units (especially when the older units are properly priced) but it needs some time to work it all through as the whole PC market is somewhat soft at the moment.   TSMC will also get even better at 7nm full production during the meantime, increasing AMD's production yields and lowering the net price per wafer accordingly.

AMD can also continue to work on their 5nm stuff, getting it ready as well.   7nm is likely to be a short lived node anyway since the same equipment can run 5nm parts ...... this is both known and proven real by both TSMC and Samsung who already own the direct burn EUV lines that can do this trick.

Note please that Samsung and IBM just made a contract to run IBM's 5nm stuff at Samsung, this 5nm agreement is about the same cooperative agreement as they inked 2 years ago about 7nm lithography.



===================================================



https://www.anandtech.com/show/13829/amd-ryzen-3rd-generation-zen-2-pcie-4-ei...

Further "confusions" stemming from Lisa Su's presentation at CES

When Lisa shows the silicon set and talks about APUs coming out now and again in mid year she is showing and talking APUs (combined graphics and processing units).    These are the new AMD laptop chipsets that are rolling out right now as we speak ......

When she is talking Mattisse, that is a desktop set up has no graphics unit in the big chip per se .......  it is a desktop processor that always has 1 each 8 core 7nm CPU chiplet built at TSMC.   Mattisse can then have a larger lithography 12-14nm I/O chiplet that is built at Global due to production constraints (or lower pricing, got to remember that).    The Mattisse CPU core chiplets are always the same 7nm TSMC as in APU, but the I/O chiplet on Mattisse is much much simpler and can be done at older lesser lithography levels very easily.

Remember, Mattisse and APU both swing two core filled die sets at this time, one is an I/O (and always has the on die rapid access buffer memory in it).   In the APU case the big chiplet includes Vega graphics cores (up to 10 of them).  In the Mattisse case the big chiplet does not include any graphics, just I/O and rapid access buffer memory.



Confusing?   Oh my yes -- now take these scenarios and add it to your confusion.  A failed sorted APU unit may be sorted and sold as a Mattisse graphic-less variant with the failed graphics seared off.  

On the 7nm Cpu chiplet side, sorted small CPU chiplets may have 1-4 non-functional compute cores seared off as well.

In full complexity of sorting, an I/O chip may start out intended as a 7nm TSMC based APU with up to 10 Vega graphics cores and an AI block inside the larger I/O chiplet on an AM4 socket silicon with 8 cores of 7nm TSMC CPU cores inside the smaller chiplet, and these CPU cores can be seared off as well to potentially lose all the the graphics and also lose one or more CPU cores in sorting.

So you got variants possible that have had graphics but lost them and had up to 8 compute CPU cores but lost some of those.    Or the variant can be built on purpose to never have had them in the first place if the demand is there and there aren't enough sorted units to meet the need.

Roll Eyes


WHAT IS LEFT HAS NOT BEEN SHOWN AND EXISTS ONLY OUT THERE IN THE FUTURE
(for sometimes for when Lisa Su decides it is needed).



This is the 12-16 core cpu (dual CPU chiplet) variant that is NOT NEEDED right now to keep up with Intel's best of the best i7-9970 8 core chipset --- Intel tops out at 8 cores in consumer at the moment and AMD will be content to outdo 8 core Intel using 8 better 7nm cores from TSMC.

This 12-16 core variant will not exist in Consumer until Intel actually goes there inside normal consumer computing.

NOTE:  This variant technically already exists in Server space, where Intel already has 16 cores per die (with 2 separate sockets per motherboard) and AMD has up to 32 cores per die right now using the chiplet technology (but it is on the larger single Epic server die set and socket scenario).

Intel is the one who likes to plug their old server die sets and sockets into Consumer space, they have done it several times already.

So far AMD likes to re-use the AM4 socket die set over and over, using smaller and smaller TSMC lithography in roughly the same sized chiplets to make it work again and again and again -- filling the space up with more and more cores as the lithography shrinks happen.

5nm chiplets are certainly out there in the future on the AM4 socket die, this is a no brainer coming from AMD.    Core counts will likely start at 8 and go to 16 and mebbe go to 32 potentially when 3nm comes around,  all staying in the AM4 socket size.

The AMD Epic server socket and die also will roll out with 5nm and 3nm chiplets when those waves begin, but your guess is as good as mine as to what the core counts will eventually be.  Many many, obviously --- 64 cores being the most likely next expansion.

Intel re-dies and re-busses their old motherboards at a bi-yearly pace, while AMD shows repeatedly they can use the same board and the same socket with just a BIOS refresh.

Wink
Back to top
 
« Last Edit: 02/04/19 at 21:03:34 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #181 - 01/16/19 at 08:42:37
 

Intel is getting its ass kicked by Lisa Su and AMD.   Wall Street is noticing this, very much so.   Wall Street is saying Intel must hire a visionary that can lead the company out of their mediocrity swamp and get back into high success levels again.

Wall Street suggests Intel open up their wallet and buy AMD and Lisa Su.   This is a stupid suggestion because the only reason Intel even grants AMD a x86 license year after year is that Intel REQUIRES a competitor or else they are a monopoly and then Intel gets shut down and split up by Federal Regulatory Agencies.

The backup recommendation is to just hire Lisa Su.   This cripples AMD and give Intel what they need in a leader while staying away from AntiTrust actions by the US gov.

Intel has been hiring all the next level down visionaries from AMD recently, and that is likely to continue.   Intel is learning that the AMD culture grows up and consistently promotes visionary people, but the Intel culture squelches them as soon as they show up at Intel ......

All of Intel's innovations of late have come from copying AMD and using ARM technology in the same fashions.  

Goose that lays the golden eggs .......  read the fairy tale, Intel.



===================================================



https://www.tomshardware.com/news/intel-oregon-factory-7nm-euv,38482.html

With TSMC, AMD, Samsung, IBM, Qualcomm, Mediatek and Huawei already at 7nm with strong plans to move into 5nm production late this year, Intel has just mildly disrupted its own 14nm sales plan (such as it was due to lack of available chip production capacity) with this late, really really late breaking REALLY late news flash ......

Intel is announcing they are planning on building a brand new building in Oregon to house their 7nm production processes.

Ground breaking will supposedly take place later on this year with tentative "building completion in late 2020 with the initial production line to start late in that same year or in early 2021".

Once again, when this happens 2 years from now Intel's 7nm will be showing up just in time for everybody else to be starting up their 3nm lines because 5nm will have been in place at TSMC and Samsung in production for almost a full 2 years by then.

Yep, both Samsung and TSMC are running full back-to-back lots of memory products at 5nm right now to gain some real world experience before beginning their first 5nm SoC production runs later on this year.


Roll Eyes


And Huawei just announced their first 7nm "Intel Xenon competitive" 64 core 2.65 ghz speed stock ARM designed rackspace chipset (same stuff that Qualcomm piloted last year, just being done by somebody else at 7nm using the readily licensed box stock ARM reference designs).
Back to top
 
« Last Edit: 01/24/19 at 11:27:09 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #182 - 01/22/19 at 22:42:00
 

Some late night musing on the tech company year end roll ups given out in the last few weeks.

The overall tenor of the financial reports is very muted and very careful.   Tech overall looks to have a sub flat year for the first half of 2019, with financial warning flags being flown by TSMC and APPLE and everyone in between.   Shipments and earnings will be down, sharply.

Look to see people throughout the infrastructure to be buying on price/performance, not just pure performance.   Things look right grim for the overly pricey Intel stuff.

TSMC says they will ship only half the volume in first half of 2019 compared to what they did last year.  It may be better stuff, but there will be less of it shipped.

Also look to see everyone diligently search for COST ADVANTAGES over their competitors --- rolling products back to older cheaper lithography levels may become a repeated theme in 2019 as COST becomes KING.

Look to see smaller companies playing "skip this current node, jump to the next after this one when it gets cheap enough" sorts of thinking again, especially over in the Orient.

Choosing to stay where you are until it PAYS you to move again will become the new normal.   TRYING TO SURVIVE will be the name of the game for most small players, and many of them simply won't make it.

TSMC and Samsung have already bought the direct burn EUV production lines to go to 7nm, it is the same equipment that does 5nm memory right now and these lines are kept busy proving themselves out in 5nm memory production at both TSMC and Samsung.   This "over produced lots of 5nm memory will further depress the general pricing for memory products but those new lines simply have to run flat out producing something that can be sold just to make the payments on the new equipment.

As cheaper, better memory becomes more readily available, look to see builders use more forms of fast memory as it is a good way to build up some extra speed at "the same cost as what you were buying before".

Machine vendors are building alternative AMD mother boards for many existing laptop products so they can buy less expensive processors and components.  AMD style APU products will be preferred for this sort of thing as they are lower cost by their very nature.

I am watching new Chromebooks rolling over to AMD laptop APU processors in bulk as they are less expensive to make (they also have better performance, but that isn't why they are taking over, COST is the real driver here).

Remember, you can get nearly twice as many 5nm chiplets out of a wafer compared to 10nm chiplets.  So watch AMD optimize on this trick and roll over to 5nm as the total production of everything else drops sharply to free up the newest TSMC EUV equipment ......

7nm might be a very short lived node because the EUV production lines can make more money making a lot more 5nm chiplets on the same number of wafers than running the same wafers of 7nm chiplets.    

COST is KING, remember.

Back to top
 
« Last Edit: 01/23/19 at 23:10:07 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #183 - 01/23/19 at 22:37:43
 

https://liliputing.com/2019/01/toshiba-begins-sampling-ufs-3-0-storage-faster...



Remember when I told you that a full size bootable 512 gigabyte chip-based hard drive could fit on a single chip now-a-days?  

Toshiba and Samsung are bringing them to market this year, and while the first ones will be quite pricey but in the years following you will see folks selling single expansion slot card "hard drives" that will plug fast memory hard drives into our older PC machines for something in the price range of a replacement spinning platter hard drive.

Can you say "bye bye, Optane" ???   Say it quick, or miss your chance completely.




===================================================



Samsung is making 1TB storage chips for phones


https://www.theverge.com/circuitbreaker/2019/1/30/18203347/samsung-1tb-flash-...



Samsung has announced that it’ll start offering the world’s first 1TB eUFS (embedded Universal Flash Storage) solution for phone manufacturers, with mass production already underway. This means that phones will be able to have one terabyte of storage with a single flash memory chip.

Samsung memory marketing VP Cheol Choi says in a statement that the 1TB eUFS is “expected to play a critical role in bringing a more notebook-like user experience to the next generation of mobile devices.” It’s the same package size as Samsung’s previous 512GB unit and has read speeds of up to 1,000 megabytes a second; that’s 10 times the speed of a typical microSD card, according to Samsung.


This is what 5nm memory smells like .......

Back to top
 
« Last Edit: 01/30/19 at 05:26:42 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #184 - 01/24/19 at 02:19:14
 

https://www.notebookcheck.net/When-cheaper-is-faster-Core-i5-HP-EliteBook-x36...

Buyer Beware of Intel latest Gen i7 and i9 chipsets -- they REALLY DO throttle down to sub i5 performance levels when installed in a laptop product ......

Nonetheless, we discovered some odd performance results between the two HP SKUs we tested. More specifically, the Core i7-8650U SKU would have slower CPU performance than the Core i5-8250U SKU. CineBench R15 Multi-Thread reveals that the Core i5 SKU can be 10 percent faster than the Core i7 SKU (493 points vs. 447 points).

A potential culprit could be throttling especially when dealing with small and super-thin convertibles such as our HP. To test this, we ran CineBench R15 Multi-Thread in a loop on each system with our results graphed below. Throttling occurs on each SKU and so the processors are fastest during the first benchmark loop before steadily declining thereafter. What's interesting, however, is that the Core i5 SKU manages to consistently outperforms the Core i7 SKU even after taking into account the throttling.

The takeaway message here is that the performance delta between Core i5 and Core i7 Kaby Lake-R can be very minuscule and variable depending on the system and implementation. In this case, users would be better off purchasing the Core i5 EliteBook x360 1030 G3 instead of the Core i7 option while saving a couple hundred dollars in the process.

This isn't the only case where we discovered faster performance from a cheaper Core i5 machine. The ThinkPad X1 Tablet exhibits similar results between its i5 and i7 SKUs as well.



===================================================


First two AMD APU equipped laptops from HP are selling for an average $200 cheaper than the current Intel "kinda equivalent".

(and please note the AMD APU laptop units have much better built in graphics than Intel's best)

Roll Eyes
Back to top
 
« Last Edit: 02/04/19 at 21:07:23 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #185 - 01/24/19 at 11:40:12
 
https://newatlas.com/ibm-5-nm-transistors-chip/49872/

IBM's new 5nm architecture crams 30 billion transistors onto fingernail-sized chip



As always, the march of technology never stops, and in 2015 IBM unveiled a 7nm test chip, developed in conjunction with GlobalFoundries and Samsung. This prototype crammed some 20 billion transistors onto a fingernail-sized chip, thanks to some new manufacturing tricks and materials, and they're expected to be rolled out on a commercial scale in about 2019.

Now, the same group of companies has unveiled the next step beyond that. With individual switches just 5 nm in diameter, an extra 10 billion of them can be squeezed onto a chip the same size. While current manufacturing techniques could potentially shrink down to the 5 nm scale, the team instead developed a brand new "mixed lithography size" architecture as their initial move.  This will be needed until 5nm radios, etc. get the needed approvals from regulatory bodies.

Semiconductors have been made using the FinFET architecture since about 2011. As its name suggests, these transistors are fin-shaped, with three current-carrying channels surrounded by an insulating layer. But, as often happens with technology, this structure is starting to bump up against the limits of how small it can be scaled, and the IBM team says shrinking the fins any further won't do much to improve their performance.


 Picture is existing finFET with 3 gates

Instead, the 5 nm chips are made using stacked silicon nanosheets, which can send signals through four gates at once, instead of FinFET's three. They're created using Extreme Ultraviolet (EUV) lithography, a process that writes patterns on a silicon wafer using a much higher energy wavelength of light than the current technique. That means finer details can be created on the chip, and unlike existing lithography processes, the chips' power and performance can be adjusted continuously during manufacturing.

Compared to the current 10 nm chips, the 5 nm prototypes are capable of improving performance by 40 percent at fixed power, or provide a power saving of 75 percent at matched performance.


Samsung is running the test wafers off on their newest ASML lines and IBM is providing the intellectual chops for the research and tuning.   Global Foundries is providing enough 12nm off-load capability for making enough off-load production "room" possible at Samsung so they can isolate one of their new ASMLlines to the research.

5nm is real, right now.   These are the very first complex CPUs to run on 5nm.  5nm will ramp up over the next 2 years to be the mainline CPU processing technology used by Samsung, Global and IBM.  

So, 5nm is running memory flat out and now 5nm has made trial lots of complex CPUs for IBM and Samsung.

Expect to see TSMC and Apple opt for a slightly different form of 5nm that they have yet to trial build or attempt to develop fully.   Or, failing this TSMC will license the IBM patents (which they have done before when Apple wasn't able to get their version to fly well back at 10nm).   Expect TSMC to be somewhat late to 5nm compared to the rest or else TSMC may simply repeat their past pattern and leap-frog on down to 3nm ahead of the others instead.

Also note that yesterday after trading INTEL instantly lost 6% of its stock price .......
Back to top
 
« Last Edit: 02/11/19 at 07:23:55 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #186 - 01/25/19 at 06:25:10
 

https://www.tomshardware.com/news/intel-earnings-4q-2018-desktop-shortage,384...

Intel Misses Revenue Targets and Issues Weak Outlook

Intel released its full-year and fourth quarter 2018 financial results today. Overall, the company posted yet another full-year revenue record of $70.8 billion, but its fourth-quarter performance fell short of analyst projections. The company also presented lower-than-expected guidance for 2019.

Intel's CEO search was top-of-mind as rampant speculation led many to believe that company would appoint its next leader during the earnings call. Unfortunately, Intel's interim-CEO Bob Swan dashed those hopes by saying the company is still searching for the right candidate, saying "I am convinced the board will close on a new CEO in the near future. In the meantime, we will not be distracted by the void."

Intel's notebook volumes dropped 10%, and desktop volume also fell 7% compared to the prior quarter, though the company has increased notebook volume by 4% overall compared to 2017. Desktops didn't fare as well, with a 6% decline in volume YoY, largely offset by an 11% increase in the average selling price (ASP).

Intel's growth in the data center segments cooled off, which the company attributed to large orders being processed earlier in the year finally being deployed. Industry analysts reported large orders earlier in the year as Chinese data centers built up stockpiles amid the looming threat of a trade war, so it's logical to assume that much of the new deployments come from that existing stock.

Slumping NAND prices hurt the Nonvolatile Storage Group's (NSG) profitability, so its 25% revenue growth on the year merely brought the unit to the break-even point. Intel CEO Bob Swan acknowledged that Micron had formally moved to purchase Intel's share in the IM Flash Technologies joint venture. Intel and Micron jointly produce NAND and 3D XPoint (Optane) memory through this venture, but Swan noted Intel has its own production facilities and long-term supply agreements with Micron that extend beyond the termination of the partnership.

Intel's projections for $16 billion in revenue for Q1 2019 is essentially flat year over year, and it projects a 1% gain in revenue to $71.58 billion in 2019.

Overall the company's record results and 2019 outlook are viewed as somewhat tepid in the investor community. Intel cited increased competition in several of its segments, along with the other factors listed above, as headwinds going into 2019, but didn't specifically mention AMD as the source.


Yeah, and you just dumped off 10% of your stock price within a 36 hour period, too .....       Roll Eyes
Back to top
 
« Last Edit: 02/11/19 at 07:24:44 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #187 - 01/25/19 at 14:47:32
 

https://liliputing.com/2019/01/intel-expects-chip-shortage-to-end-by-mid-2019...

OW !!!!   That after hours stock price drop must have really really smarted !!!

Trot out that interim CEO Swan YET AGAIN and re-use those slides from last weeks quarterly earnings call and go over "our plan" all over again and let's see if them stupid stock holder mullets will swallow that BS stink bait pill all over again and let's see if mebbe they can keep it down this time ......  

BE ALL REASSURING and strong for them ......  they are acting sorta scared and timid and some are actually starting selling their Intel stock.



"The shortages were and are the most pronounced in the value end of the PC market, as Intel’s strategy is to prioritize Xeon chips for servers—where there are “no shortages,” Swan said—and so-called “big core” products at the high end of the PC market.

So, our “Big core” chips like the Core i9 will be prioritized over “small core,” mid-range processors, followed by the cheapest “value” chips.

That story helps explain why small companies are having a very hard time getting their hands on Gemini Lake processors and are considering AMD chips as alternatives or are simply limiting their production (the way GPD has only promised to sell 2,000 units of their upcoming GPD microPC in the first few months — the company says it doesn’t expect to be able to produce more units until June just because they can't get any more Intel chips).


This obviously presents a big opportunity for AMD to eat into Intel’s market share for entry and mid level devices ......    Intel is saying they don't care about those lower end markets any more (they are apparently being considered already lost by Intel's brass, apparently).   The AMD laptop APU units that first showed up at HP this week are selling for $200 off the Intel identical sister product that isn't really available for much at the moment anyway ..... so heck yeah they are going to lose that section of market share -- pronto.

What interim CEO Swan conveniently leaves off is the fact that AMD Epyc chipsets ARE KILLING INTEL in the upper end server market and over in the Chinese Oriental spaces Huawei has their own 64 core ARM based chipsets that are doing very well and China also have their own domestically produced and fully legally licensed AMD derivative rack space chipsets that are filling all of China's governmental orders.

Intel simply isn't selling near as much big stuff in the Far East as they used to.

Wink        So, Intel ....... is this Ice Lake stuff referring to the stuff that is supposed to going to be built in your brand new building that won't even be built yet whenever these chips are promised to be shipping in finished units?   Or are these just the crappy low yield 11-12nm stuff that you are just calling 10nm that you plan to build on your old known to be incapable equipment -- try try trying again for like the 15th time?



===================================================



https://www.techspot.com/news/78452-amd-next-12-core-cpu-appears-benchmark-da...

As Intel reels from their overnight stock price drop, the very next day after the Intel acting CEO reassures the stockholders with a new show and tell ......  then somebody at AMD goes and leaks the benchmarks for a 12 core Ryzen 3000 Matisse desktop chipset that murderalizes Intel i7's best and equals the current i9 series chipsets .....   and there is the possibility of a 16 core AMD Matisse as well.

What this leak does tell us is that AMD is developing mainstream Ryzen processors with two 8-core dies, and that means they will almost certainly release 12-core and 16-core Ryzen processors sometime in the not-too-distant future.

Rock Salt when rubbed in fresh wounds kinda smarts bad, boys, it really really does smart bad .......     Being kinda cruel, ain't ya?
Back to top
 
« Last Edit: 02/11/19 at 07:25:55 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #188 - 01/29/19 at 08:34:09
 

https://www.extremetech.com/computing/284528-intels-10nm-cannon-lake-core-i3-...

https://www.anandtech.com/show/13405/intel-10nm-cannon-lake-and-core-i3-8121u...

https://www.zdnet.com/article/intel-details-first-10nm-cannon-lake-chip-comin.../





Yep, the "wonderful new Intel 10nm" is second to the bottom -- almost dead last --- only winning one slot above a dated and faded AMD "Internet of Things" Chromebook chipset.  

Yes, sadly Intel 14nm still clearly outperforms Intel's 10nm on both throughput and power consumption, while Ryzen 3 kicks all of Intel's various sorts and kinds of old lithography types in the arse quite soundly.  

AMD and TSMC are getting better at over twice the rate that Intel is "claiming" that they are going to do, and are actually getting better like 5 times faster than Intel actually ever achieves ......

What can be said for the Future of Intel 10nm processors as tested in a Lenovo product that also comes in other Intel 14nm chipset forms for easy and accurate comparisons?   A very fair "Apples to Apples comparison" is very easily do-able in this case and it has been done by all three reporting bodies.

Intel 10nm SUX ROCKS big time .......  Do not buy it !!!

Timing says that all three of the investigative web sites listed above all placed early orders to get a i3-8121u Intel 10nm chipped Lenovo built Ideapad so they could be the very first to review "the future of Intel as a chipmaker".

The laptops all showed up at the same time and the reviews have been written up and pushed out to the public with 24 hours of each other.

Do you remember me saying:

Do not buy a 10nm Intel chipset !!!!     yep, buy a 7nm Ryzen 3 chipset instead !!!!

Back to top
 
« Last Edit: 02/04/19 at 21:11:29 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #189 - 01/29/19 at 20:05:03
 

https://www.anandtech.com/show/13904/asml-to-ship-30-euv-scanners-in-2019

What ASML ships this year is what happens to computing for the next few years, so this is worth reading.

 
yup, it is a direct burn production cell that takes the place of an entire line at the old 14nm plants

ASML said last week that it planned to ship 30 extreme ultraviolet scanners in 2019, up significantly from 2018. The plan is not surprising, as demand for EUV lithography tools is rising and semiconductors manufacturers are building new fabs. In addition, ASML indicated plans to introduce a new EUV scanner that will offer a higher production throughput, the NXE: 3400C.

Last year ASML shipped (only) 18 Twinscan NXE: 3400B EUV scanners. This was slightly below its expectations, to supply 20 machines. In total, as of July 2018, there were 31 EUV scanners installed at various fabs across the world, including several machines in various semiconductor research organizations, including imec. If everything goes as planned, ASML will ship more extreme ultraviolet scanners in 2019 than it did in in years before that.

Samsung Foundry has already started to use ASML’s EUV equipment for production of commercial chips using its 7LPP process technology at its Fab S3. As reported, a major increase of EUV lithography use by Samsung will commence after it builds another production line in Hwaseong, which was architected for the EUV tools from the start. The fab is set to cost 6 trillion Korean Won ($4.615 billion), it is expected to be completed later this year, and start high volume manufacturing in 2020.

TSMC is set to start using its Twinscan NXE scanners for commercial wafers in the second half of this year to produce chips using its N7+ manufacturing technology. Initially EUV scanners will be used for non-critical layers, but their use will be expanded at the 5 nm node in 2020 – 2021. TSMC says that virtually all customers that use its N7 fabrication process will also use its N5 technology for their next-gen chips.

Demand for ASML’s Twinscan NXE tools will be further boosted by demand from Intel and SK Hynix. Intel will need EUV tools as it expands its fabs in Oregon, Israel, and Ireland. Besides, the chipmaker will need EUV scanners to equip its Fab 42 in Arizona. These factories will be used to produce chips using Intel’s 7 nm fabrication process. SK Hynix will need EUV tools for its new fab near Icheon, South Korea.


And your place on the waiting list for this equipment is very important -- if you just rang in late you may wait for most of an extra year before you get your equipment.    

Actually, Intel hasn't actually written a P.O. for anything yet, so they are NOT EVEN ON THE WAITING LIST at this point in time.

So, Intel is just BS talking about what they mebbe plan to do .....  planning on building a building that is over 2 years out and mebbe planning on ordering something to put in the building, eventually.

Roll Eyes
Back to top
 
« Last Edit: 01/30/19 at 06:45:42 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #190 - 01/31/19 at 09:34:03
 

Intel REACTS to the last few days of adversity ....

Intel counters the fumbling image they have projected of late by going and HIRING acting CEO Swan as Intel's permanent CEO ----- this does not give him any chops as a visionary whatsoever, but it legitimizes his rule over the company's future.

Intel uncorks a promised 28 core 14nm Xenon server processor to counter AMD's leaked 12 and 16 core processors in the consumer side and to try to EQUAL the 28 and 32 core Epyic server processors that AMD showed off at the last big server show.

Same issues remain for Intel --- AMD is showing real "building it now" stuff and Intel is pop talking vague future plans that are predicated on new plant buildings that have not broken ground yet and completely fictional future orders for ASML EUV direct burn lithography lines that are not even PO written yet (and Intel is not even showing up on the waiting list that ASML keeps for their new built production lines).


Roll Eyes          Tongue           Undecided


REMEMBER  --- "10nm" Intel just got reality check reviewed, and it  SUX ROCKS  when benchmarked by THREE DIFFERENT test houses.
Back to top
 
« Last Edit: 02/12/19 at 04:32:06 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #191 - 02/05/19 at 18:25:15
 

https://www.tomshardware.com/news/amd-market-share-desktop-server-notebook,38...

AMD Market Share Gains Accelerate in Desktop PCs, Servers and Notebooks




Desktop PCs
AMD now holds 15.8% of the desktop processor market, a 2.8% gain on a quarterly basis and a 3.9% year-over-year (YoY) improvement. That represents the company's largest portion of the market since the fourth quarter of 2014.

Combining those statistics with the data Mercury Research has shared with us in the past, we see that AMD's rate of market share gain is accelerating, obviously propelled by strong sales during the holiday shopping season. This marks the second year in a row the company has dominated the holiday season–in 2017 the company tripled its sales on Black Friday and Cyber Monday.

AMD has made several strategic moves to capture sales opportunities, but Intel's nagging processor shortages have given the company plenty of room to maneuver while competing products are either not available or selling with big markups.


Notebooks
Notebook processors are critical because they comprise two-thirds of the overall processor market, but AMD has been plagued by slow uptake. That tide seems to be turning as the company gained 1.3% share on the quarter and a whopping 5.3% more share YoY. That marks the company's highest percentage of the notebook market since Q3 2013.

McCarron also attributed AMD's notebook growth to higher sales of low-end processors and increasing OEM adoption, but called out that Intel's supply of low-end chips suffered more in notebooks than the desktop, giving AMD a bigger boost in the notebook market.

Much of this growth comes on the back of the company's Ryzen Mobile processors, but as AMD CEO Lisa Su recently told us, notebook sales take longer to build due to the plethora of OEMs and retailers involved.

AMD has its second-gen Ryzen Mobile chips (codenamed Picasso) coming to market soon. Those chips come with new H-series models to attack the high end and new A-Series processors to tackle the Chromebook market, opening up two new markets. AMD also already has 33% more design wins in 2019 with OEMs, so the company is primed for more growth in 2019.


Servers
During the company's recent earnings call, Lisa Su said that AMD had achieved its goal to claim "mid-single-digit" data center share in 2018.  Since servers don't get replaced (they roll down to do other tasks instead) normal statistical measures don't carry the same meaning in service space.

Mercury Research captures all x86 server class processors in their server unit estimate, regardless of device (server, network or storage), whereas the estimated 1P [single-socket] and 2P [two-socket] TAM [Total Addressable Market] provided by IDC only includes traditional servers.  We used IDC’s server forecast of the 1P and 2P server TAM of roughly 5M units to compute our server market share estimates. We believe that in Q4 2018 we achieved ~5% unit share of the 1P and 2P server market addressed by our EPYC processors (as defined by IDC).



The enterprise is notoriously slow to adopt new platforms, so many of AMD's early sales have been to customers evaluating the systems' suitability for long-term deployments. AMD has wisely focused its early efforts on cloud service providers because it allows potential customers to test applications with a minimum of investment.
Back to top
 
« Last Edit: 02/06/19 at 21:47:43 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #192 - 02/07/19 at 20:51:32
 
 
Intel was not alone in stumbling over 10nm.


Everybody stumbled at 10nm to some degree -- Intel just got pig headed for 6 long years about going past 10nm and moving on to something better.   Intel had no pressing competitor at the time and no pressing reason to spend any money on getting better.

Now that reluctance is slowly evaporating and Intel is starting talking plans that lead to 7nm and possibly lower.

This is good, but the timing sucks --- everyone else IS ALREADY AT 7nm and has plans to go to 5nm on CPU production starting late this year.

Intel is still stuck at 14nm, has failed yet again to get their best 6th generation 10nm to work out as good as their current 14nm is doing right now and only has some 2 year out "build a new building for it in 2020" style plans for new ASLM lithography lines that they need to use to move forward.

Lisa Su the visionary CEO at AMD shows real production chipsets at major shows that just EAT INTEL UP ALIVE, and smiles because she can afford to wait for her relatively tiny warehouse stocks of older chips to move on out through the sales channels before scheduling in the new much better chips as replacement inventory.

This entire year is an off year for electronics, a technically void year where no one is buying very much of anything supposedly.

Microsoft is giving Intel the STINKING BROWN SHITE STUDDED CROWN for this particular situation, as Intel's failures to advance their technology and their badly failed efforts in "predictive processing" have polluted the whole of computing with Meltdown and Spectre security risks that  SLOWS DOWN COMPUTING AS A WHOLE BY 30% simply to partially mediate these two issues.

Microsoft cannot show clean hands in this, as MS's gross programing overhead and very slow processing is another major cause of slow processing as well.

Clear Linux (by the Wintel boys) is an excellent example of MS and Intel proving to themselves that they could shite can their whole historically weighted x86 stack and still be able make up a much faster, better OS out of free small and light FOSS Linux bits and pieces.  

Now, after having done it themselves as a trial, MS is a believer ......

Seeing what MS and Intel have done with Clear Linux, Linus Torvalds himself is rallying all the FOSS troops for a wide scale Linux clean up again -- they do this about every 8 years or so when somebody shows the main Linux boys that they have gotten slow and fat and complacent around the edges again.   Last time they did this they were agreeing to mainline the faster FOSS Android code which would make the Android version into the Linux OS general standard as it was faster, neater, smaller and cleaner than the old desktop Linux code that the Distros were using at the time.

Having Mickey and Chipzilla show you your sins is simply too much to take, though, so it is time to clean Linux up again ......  

But once again it shows that OS lightness and speediness is currently as important as expensive hardware to get the whole show to move along quickly.
Back to top
 
« Last Edit: 02/14/19 at 01:55:31 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #193 - 02/11/19 at 06:45:04
 
 
https://liliputing.com/2019/02/now-you-can-run-linux-on-some-arm-laptops-desi...

Mildly amusing news from MS's Chromebook killer efforts from last fall

Now you can run Linux on (some) ARM laptops designed for Windows 10 on ARM

The first Windows 10 laptops and tablets with ARM processors shipped in 2018… to mixed reviews.

The Asus NovaGo, HP Envy x2, and Lenovo Miix 630 are relatively thin and light devices with long battery life and support for 4G LTE. But they’re also relatively sluggish computers... especially when Windows has emulate x86 architecture to run software that wasn’t designed for ARM chips.

But that’s Windows .......  what if you want to run a different operating system on these computers?   Up until recently there hasn’t been a good way to do that since MS had blocked the boot loader to prevent second OS installations. But now the folks behind the AArch64 Laptops open source project on github have come up with a way to install Ubuntu 18.04 LTS on some of the first Windows 10 on ARM laptops.


Rabid Intel Fans bought them, then didn't like them at all because they were poky slow ..... and now the rabid Intel fans want to be reselling them off to be repurposed  as Linux machines.  Now this is possible, finally .......  

This EXACT same thing happened the last time Chromebook killers were tried out three years ago, Mickey me always forgetful boyo you .....

Your Windows 10 OS is TOO FAT and too slow (processor-wise) to work well on a cheap, thin and light notebook.   You should offer these folks a completely free MS Clear Linux upgrade, they have already paid you your exorbitant Windows 10 weregild after all .....

Mickey, this also tells you what you should really be doing on the skinny end of the marketplace -- selling in Clear Linux as a value added "feature".
Back to top
 
« Last Edit: 02/13/19 at 21:57:05 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Oldfeller--FSO
Serious Thumper
ModSquad
*****
Offline

Hobby is now
"concentrated
neuropany"

Posts: 12636
Fayetteville, NC
Gender: male
Re: 2019 -- new Intel failures & successes
Reply #194 - 02/12/19 at 03:57:54
 

https://www.youtube.com/watch?v=KLz8gC235i8    it is a YouTube, click on it and watch it

I mentioned this when it first was announced, that Amazon was planning on dumping Intel Server Chipsets in favor of their own home grown ARM server chipsets.

What was not clear at that time was that this Amazon ARM based chipset was going to be FASTER than Intel's best Xenon chipset.

And it does it with ~50% less power consumed.   These are the things that count the most in Server World, relative speed and power consumption.

So, suddenly overnight we all realize that Amazon has suddenly become the world's largest manufacturer and user of large very powerful ARM chipsets.


Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes    Shocked    Roll Eyes  


So, Google runs on their custom water cooled TPUs and Amazon runs on their custom ARM server chipsets and Microsoft runs on their .........  HUGE very expensive bone stock Xenon double socket rig ups from Intel.    

China has copied the Amazon chipset (Chinese industrial espionage strikes again) and is using those in China right now along with the Chinese produced AMD Epic server stuff they licensed legally from AMD like 2 years ago.

Where is Intel in all of this ???   Still stuck at 14nm .......   and still making same ol' same 'ol very expensive stock Xenon double socket rig ups from the Intel Inside lovers out there.


Tongue    Undecided


And Intel, who is it exactly that is going broke buying all of your expensive Xenon chipsets again?    Is Mickey the only one left other than yourselves ???


==================================================


ARE THE BIG BOYS (AND THE MID-SIZED BOYS) EACH PAYING CLOSE ATTENTION TO THE VARIOUS FOSS RISC-V "FREE" NO LICENSE FEE NEEDED CHIPSETS THAT ARE BEING MADE UP BY ALL THE OTHER VARIOUS FOSS PLAYERS?   Yep, they sure are.  

Note please that the current crop of RISK-V chips are starting out made for small, somewhat mundane functions that are often used by hard drives, routers and data switches and other "motherboard housekeeping" types of simple smaller stuff ---- small but very prevalent in large numbers in a great many different products so a whole lot of money is getting saved by using these RISC-V chipsets instead of buying Qualcomm or ARM or Broadcom branded chipsets to do these same tasks.


https://riscv.org/members-at-a-glance/   ........  sure looks like they are paying close attention, doesn't it?


https://www.youtube.com/watch?v=L8jqGOgCy5M      ........ it is a YouTube, click on it


In today's environment where COST IS KING, these RISC-V processors as done so far can be very thrifty to utilize especially over time as you only pay to develop it once and they can cost peanuts per wafer to re-produce at TSMC on programmable EUV direct burn cells.   TSMC can just call up the direct burn EUV 7nm lithography program on their ASML direct burn cells and burn as many or as few wafers as you need at the moment ......   Quick Changeover Agile Production at its best.

https://hackaday.com/2019/02/13/western-digital-releases-their-risc-v-cores-t...

Western Digital is PROUD to have released to FOSS all of their RISC-V chipsets that they designed and proved out in their own hard drive production.   The inference is that everybody else should be doing the same required "release it to FOSS" thing with their RISC-V chipset designs, eventually building up a FOSS library of proven RISC-V chipsets that can be re-purposed or slightly modified and used for other uses.

Last year, Western Digital made the amazing claim that they will transition their consumption of silicon over to RISC-V, putting one Billion RISC-V cores per year into the marketplace. This is huge news, akin to Apple saying they’re not going to bother with ARM anymore. Sure, these cores won’t necessarily be user-facing but at least we’re getting something.

This could be a big thing in a few years, when somebody posts a "proven by production" laptop grade RISC-V FOSS CPU design and proves it out in production ......

Wink


==================================================



Read the line of text immediately above this one, grin a little bit and read on ..... read on.   It's just likely a small time bubble, a quick little time warp with "a few years" instantly passing in just a few hours.




https://www.seeedstudio.com/Sipeed-MAIX-I-module-WiFi-version-1st-RISC-V-64-A...

$8.90 cents for a motherboard the size of a large postage stamp bearing EIGHT tiny RISC-V FOSS chiplets and a dozen accelerator blocks -- something has changed in computing land, something really basic has changed and "the freebie mix and match" is now moving along now REALLY REALLY FAST.

....... right on up your leg.    Shocked   zowie !!!

The speed of these things popping up is UNREAL ---- the question being will it decimate Qualcomm and ARM in general inside a year or so because is is Free, FOSS and faster than spit in creating new products .....

Sipeed MAix: AI at the edge

AI is pervasive today, from consumer to enterprise applications. With the explosive growth of connected devices, combined with a demand for privacy/confidentiality, low latency and bandwidth constraints, AI models trained in the cloud increasingly need to be run at the edge.

MAIX is Sipeed’s purpose-built module designed to run AI at the edge, we called it AIoT. It delivers high performance in a small physical and power footprint, enabling the deployment of high-accuracy AI at the edge, and the competitive price make it possible embed to any IoT devices.

As you see, Sipeed MAIX is quite like Google edge TPU, but it act as master controller, not an accelerator like edge TPU, so it is more low cost and low power than AP+edge TPU solution.

MAix's Advantage and Usage Scenarios:

MAIX is not only hardware, but also provide an end-to-end, hardware + software infrastructure for facilitating the deployment of customers' AI-based solutions.
Thanks to its performance, small footprint, low power, and low cost, MAIX enables the broad deployment of high-quality AI at the edge.

MAIX isn't just a hardware solution, it combines custom hardware, open software, and state-of-the-art AI algorithms to provide high-quality, easy to deploy AI solutions for the edge.

MAIX can be used for a growing number of industrial use-cases such as predictive maintenance, anomaly detection, machine vision, robotics, voice recognition, and many more.

It can be used in manufacturing, on-premise, healthcare, retail, smart spaces, transportation, etc.

In hardware, MAIX has the powerful KPU K210 inside, it offers many excited features:
1st competitive RISC-V chip, also 1st competitive AI chip, newly release in Sep. 2018
28nm process, dual-core RISC-V 64bit IMAFDC, on-chip huge 8MB high-speed SRAM (not for XMR), 400MHz frequency (able to 800MHz)  

KPU (Neural Network Processor) inside, 64 KPU which is 576bit width, support convolution kernels, any form of activation function. It offers 0.25TOPS@0.3W,400MHz, when overclock to 800MHz, it offers 0.5TOPS. It means you can do object recognition 60fps@VGA

APU (Audio Processor) inside, support 8mics, up to 192KHz sample rate, hardcore FFT unit inside, easy to make a Mic Array (MAIX offer it too)

Flexible FPIOA (Field Programmable IO Array), you can map 255 functions to all 48 GPIOs on the chip

DVP camera and MCU LCD interface, you can connect an DVP camera, run your algorithm, and display on LCD

Many other accelerators and peripherals: AES Accelerator, SHA256 Accelerator, FFT Accelerator (not APU's one), OTP, UART, WDT, IIC, SPI, I2S, TIMER, RTC, PWM, etc.
MAix's Module

Inherit the advantage of K210's small footprint, Sipeed MAIX-I module, or called M1, integrate K210, 3-channel DC-DC power, 8MB/16MB/128MB Flash (M1w module add wifi chip esp8285 on it) into Square Inch Module. All usable IO breaks out as 1.27mm(50mil) pins, and pin's voltage is selectable from 3.3V and 1.8V.


CHANGE, she comes .......
 
There is nothing stopping someone from posting a CPU chiplet design, a GPU chiplet design and an I/O block design then the tiny stamp sized motherboards can act kinda like an AMD RYZEN, jest building up to the power level that you need ........


===================================================


https://liliputing.com/2019/02/odroid-n2-single-board-computer-coming-soon-fo...

Lookie, an ARM based 6 core $63 Computer shipped complete with Ubuntu OS    ODROID-N2 single board computer coming soon for $63 and up (Amlogic S922X processor, up to 4GB RAM)




Back to top
 
« Last Edit: 02/15/19 at 00:41:59 by Oldfeller--FSO »  

Former Savage Owner
  IP Logged
Pages: 1 ... 11 12 13 14 15 ... 31
Send Topic Print


« Home

 
« Home
SuzukiSavage.com
04/19/24 at 17:03:15



General CategoryThe Cafe › 2020 -- new Intel failures & successes


SuzukiSavage.com » Powered by YaBB 2.2!
YaBB © 2000-2007. All Rights Reserved.